CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 实例

搜索资源列表

  1. FPGA-common-modules-design-

    0下载:
  2. “CPLDFPGA常用模块与综合系统设计与实例精讲”这本书的工程,均是采用VHDL语言来完成-" CPLDFPGA common modules and integrated system design and examples of Jingjiang," this book works are done using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2466851
    • 提供者:侯娟
  1. VHDL

    0下载:
  2. EDA技术以EDA软件工具为开发环境,以可编程逻辑器件为实验载体,实现源代码编程和仿真功能。VHDL作为一种标准化的硬件描述语言用于描述数字系统的结构、行为、功能和接口。本设计提出了一种基于VHDL语言的编码器和译码器的实现方法。编码器与译码器是计算机电路中基本的器件,本课程设计采用EDA技术设计编码和译码器。编码器由8线-3线优先编码器作为实例代表,译码器则包含3线-8线译码器和2线-4线译码器两个实例模块组成。课程设计采用硬件描述语言VHDL把电路按模块化方式进行设计,然后进行编程、时序仿
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:797281
    • 提供者:pear
  1. 27-examles-of-VHDL

    0下载:
  2. 该程序包包含了许多十分实用VHDL设计实例,并进行了详细的编写思路说明,供大家参考。-This package contains a number of very practical examples and a detailed descr iption of the preparation of ideas, for your reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1281917
    • 提供者:杨安娜
  1. ZIDONG

    0下载:
  2. 自动售饮料机 VHDL实例 参考下巴 一个很好的例子哦-Beverage vending machine VHDL example a good example of reference Oh chin
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:346874
    • 提供者:龚星
  1. crc

    0下载:
  2. 本代码是CRC循环冗余校验实例,包含顶层原理图文件,十分直观-The CRC is cyclic redundancy check code examples, including the top-level schematic file, very intuitive
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:449068
    • 提供者:renjiale
  1. Circuit-Design-with-VHDL

    0下载:
  2. VHDL数字电路设计教程 作者:(巴西)佩德罗尼(Pedroni,V.A.) 著,乔庐峰 等译 本书采用将数字电路系统设计实例与可编程逻辑相结合的方法,通过大量实例,对如何采用VHDL进行电路设计进行了全面阐述。 本书分为三大部分:首先详细介绍VHDL语言的背景知识、基本语法结构和VHDL代码的编写方法;然后介绍VHDL电路单元库的结构和使用方法,以及如何将新的设计加入到现有的或自己新建立的单元库中,以便于进行代码的分割、共享和重用;最后介绍PLD和FPGA的发展历史、主流厂
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-19
    • 文件大小:29060096
    • 提供者:吴小平
  1. led_sport

    0下载:
  2. vhdl流水灯程序,spartan-3e 简单应用-vhdl light water program, spartan-3e simple application
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:450338
    • 提供者:邱献斌
  1. ALTERA@FPGA@example

    0下载:
  2. 基于ALTERA的几个VHDL实例,如FPGA单片机,DDS的正弦信号发生器,FPGA视频监控-VHDL example:such as DDS Sine signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-17
    • 文件大小:27246957
    • 提供者:bin
  1. VHDL-100

    0下载:
  2. vhdl100例 ,vhdl 语言实例,包括各种逻辑门的构造。-vhdl100 case
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:330702
    • 提供者:bin
  1. VHDL-design-example

    0下载:
  2. 用VHDL设计数字系统实例,VHDL写的一些实例,如波形发生器等-Using VHDL to design digital system examples, written in VHDL some examples, such as waveform generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:458285
    • 提供者:sunny
  1. VHDL-program--samples-book

    0下载:
  2. VHDL程序实例集,其主要内容包括:用VHDL设计的组合电路、时序电路、数字综合电路、电路图输入法要领概述、实用VHDL语句等。-VHDL instance set, the main contents include: VHDL design of combinational circuits, sequential circuits, digital integrated circuit schematic input method essentials outlines, practica
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2982861
    • 提供者:zhangfei
  1. vhdl-hardware-implementation

    0下载:
  2. 本书主要介绍了VHDL的基础知识和硬件实现技术。全书由三部分组成:第一部分介绍了VHDL语法和程序结构,第二部分介绍MAx+plus II仿真软件的使用方法和数字逻辑电路的VHDL程序设计实例,第三部分介绍了硬件实现和硬件设计技巧。读者可以通过本书初步学会VHDL的使用,并对硬件实现中的关键技术问题有所理解和认识,快速掌握VHDL及其应用,并初步掌握硬件实现的关键技术。-This book introduces the VHDL basics and hardware implementatio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:15122801
    • 提供者:东方不败
  1. vhdl

    0下载:
  2. vhdl基础教程,里面详细讲述了语法要求,还有实例,很适合初学者学习。-vhdl tutorial basis, which describe in detail the syntax requirements, as well as examples, it is suitable for beginners to learn.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16530198
    • 提供者:money
  1. VHDL-programming-and-simulation

    0下载:
  2. EDA中FPGA 设计的四种常用思想与技巧实例 -The FPGA design four common ideas and skills
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5807811
    • 提供者:xiaoyu
  1. VHDL

    0下载:
  2. VHDL应用编程实例,收录部分例程可供做项目时作为参考.-VHDL application programming examples, a collection of some of the routines available to do the project as a reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:169178
    • 提供者:williamguo
  1. FSM

    0下载:
  2. 关于状态机的规范编码风格,有具体的verilog,vhdl实例-On the norms of the state machine coding style, specific Verilog, VHDL instance
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:84362
    • 提供者:charley
  1. VHDL-design-example

    0下载:
  2. VHDL综合设计实例,很好的参考资源,让你快速进入VHDL学习的殿堂!-VHDL design examples, a good reference resources, lets you quickly enter VHDL learning the hall!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:1294690
    • 提供者:韩非
  1. UART-VHDL-design-

    0下载:
  2. 设计的VHDL串口实例,感觉还不错,可以拿来借鉴和修改啊!-Design VHDL serial instance, I feel pretty good, can be used to draw and modify ah!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-04
    • 文件大小:146205
    • 提供者:韩非
  1. XLINX--VHDL

    0下载:
  2. XLINX公司的VHDL语言设计实例,有20个大型实例。-XLINX VHDL language design example, there are 20 large instances.
  3. 所属分类:software engineering

    • 发布日期:2017-11-27
    • 文件大小:9943
    • 提供者:黄廷廷
  1. VHDL-divider-design

    0下载:
  2. VHDL分频器设计,本文使用实例描述了在FPGA/CPLD上使用VHDL进行分频器设计,包括偶数分频、非50 占空比和50 占空比的奇数分频、半整数(N+0.5)分频、小数分频、分数分频以及积分分频。-VHDL divider design, this article describes use cases, including even divide, non-50 duty cycle and 50 duty cycle odd divider, half integer (N+0-cr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:320749
    • 提供者:黄玲
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 19 »
搜珍网 www.dssz.com