CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 计数器

搜索资源列表

  1. vhdlshiyan

    0下载:
  2. 本文为采用VHDL编写的程序及报告。步骤如下:1设计三位二进制计数器程序 二:设计一驱动循环显示7位数字 2编写LED控制程序如下: 3设计采用原理图方式如下: -VHDL paper prepared for the introduction of procedures and reports. Steps are as follows : Design of a binary counter three two procedures : Design of a drive
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-15
    • 文件大小:296936
    • 提供者:梁兵
  1. shijizhi

    0下载:
  2. 十进制加法计数器.VHDL程序,可在Quratus 2中运行
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:167369
    • 提供者:晨曦
  1. dividefre

    0下载:
  2. CPLD_EPM7064程序,运用计数器实现的分频程序,VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:127056
    • 提供者:flyingfish521
  1. EXPT43_cnt10

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA含异步清0和同步时钟使能的加法计数器
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:35037
    • 提供者:多幅撒
  1. COUNT60.20

    0下载:
  2. 用vhdl语言实现模可变计数器的设计 这是学习VHDL语言的经典例子
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3050
    • 提供者:郭海东
  1. geleicounter

    0下载:
  2. 开发环境是FPGA开发工具,格雷码计数器的VHDL程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1226
    • 提供者:horse
  1. generate语句的应用

    0下载:
  2. vhdl实验 计数器:generate语句的应用
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:747
    • 提供者:王天辉
  1. 60COUNTER

    0下载:
  2. 六十进制计数器.电子万年历是计数器的应用之一.年由月的十二进制计数器进位+1得到.月是日的三十进制计数器进位+1得到.日是小时的二十四进制计数器进位+1得到.小时是分的六十进制计数器进位+1得到.分是秒的六十进制计数器进位+1得到.本程序基于VHDL.其开发环境是MAXPLUS2
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4662
    • 提供者:weixiaoyu
  1. cnt6

    0下载:
  2. 基于vhdl的6进制计数器模块,实现0-5计数
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:22782
    • 提供者:贝凯
  1. cnt10

    0下载:
  2. 基于vhdl的10进制计数器模块,实现0-9计数
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:24101
    • 提供者:贝凯
  1. CNT4B

    0下载:
  2. 基于VHDL的4位带异步清零的二进制计数器。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:169176
    • 提供者:luoqianyou
  1. digital_cymometer

    1下载:
  2. 简易数字频率计利用复杂可编程逻辑器件FPGA,VHDL编程将所有功能模块集成在一块芯片上。功能模块包括时基脉冲发生器、计数器、数据锁存器和显示电路4部分。设计时先分别设计各功能模块,并调试得到正确仿真结果,然后将各个功能模块组合起来。最后作整体仿真、下载,得到实物。由于采用纯数字硬件设计制作,稳定性、可靠性远远高于使用单片机或模拟方式实现的系统,外围电路简单。该数字频率计达到预期要求,实现了可变量程测量,测量范围0.1Hz—9999MHz,精度可达0.1Hz。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-17
    • 文件大小:412830
    • 提供者:严术骞
  1. 60counter

    0下载:
  2. 60进制计数器,其功能是实现60进制计数的vhdl语言
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:1749
    • 提供者:史乐
  1. 7vhdl

    0下载:
  2. 16 进制段位数码译码扫描显示,用VHDL编写计数器并完成计数显示
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:61981
    • 提供者:wang
  1. 08_VHDL_simulation2

    0下载:
  2. 台湾人梁奕智写的VHDL编程学习的PPT讲义,里面包括内容有D触发器、寄存器、累加器、计数器、有限状态机等非常有用的内容。
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-20
    • 文件大小:689787
    • 提供者:WeimuMa
  1. c4240c

    0下载:
  2. 一个通用计数器的VHDL源代码,只要稍做修改,就可以实现各种进制的计数器。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:858
    • 提供者:litao
  1. frequency-phase_test_vhdl

    0下载:
  2. 相位差测试,频率测试、频率计数器、闸门控制器、显示译码控制的vhdl程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4966
    • 提供者:王充
  1. COUNT10

    0下载:
  2. 一个十进制计数器的vhdl程序,大家可以参考,已经经过编译了
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:108946
    • 提供者:wangyan
  1. fenpin1

    0下载:
  2. VHDL分频器,利用分频比较错法,要实现K=324/28=8.3571428571...的分频周期为28,18个8分频和10个9分频循环,所以设一个0到27的循环计数器,每当1、4、7、10、13、16、19、22、27时进行9分频,其他时为8分频;为使占空比尽量接近50%,需要在每一个8或9分频中设定一下输出几个时钟的0和1。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1080
    • 提供者:wx
  1. Counter

    0下载:
  2. VHDL硬件描述,使用环境为Quartus2 6.1 分别为16进制及60进制计数器的源代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:261893
    • 提供者:李若珍
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 26 »
搜珍网 www.dssz.com