CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 计数器

搜索资源列表

  1. counter

    0下载:
  2. 利用EDA工具MAX-PlusII的VDHL输入法,输入VHDL程序,实现2位计数器,在七段译码器上以十进制显示:0、1、2、3、0、...。时钟信号使用83管脚。采用自动机状态转换方式设计该计数器;建立相应仿真波形文件,并进行波形仿真;分析设计电路的正确性。-The use of EDA tools VDHL of the MAX-PlusII input method, enter the VHDL program, the realization of two counters, in t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:92446
    • 提供者:米石
  1. EP1C3_12_3_VGA

    0下载:
  2. 基于FPGA的VGA彩条显示程序,共开发VGA的朋友参考。没有采用DA,因此只有8中颜色(输出直接连到VGA的RGB)。其中行、场同步部分用计数器完成。程序用VHDL编写。-FPGA-based VGA color display, with a total development of the reference VGA friends. Did not use DA, only 8 colors (directly connected to the VGA output of the RGB
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:37274
    • 提供者:deadtomb
  1. jishuqi

    0下载:
  2. 在用VHDL语言描述一个计数器时,如果使用了程序包ieee.std_logic_unsigned,则在描述计数器时就可以使用其中的函数“+”(递增计数)和“-”(递减计数)。假定设计对象是增1计数器并且计数器被说明为向量,则当所有位均为‘1’时,计数器的下一状态将自动变成‘0’。举例来说,假定计数器的值到达“111”是将停止,则在增1之前必须测试计数器的值。 如果计数器被说明为整数类型,则必须有上限值测试。否则,在计数顺值等于7,并且要执行增1操作时,模拟器将指出此时有错误发生 -VHD
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:29696
    • 提供者:卢陶
  1. MUTICOUNT

    0下载:
  2. 一个关于计数器的VHDL实例,对于VHDL语言的学习者很有帮助。-VHDL on the counter example, the VHDL language learners helpful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5836
    • 提供者:dalchan
  1. db0358fc-1f16-4f07-9f0f-defb77998bb1

    0下载:
  2. fpga实现简单的计数器功能,用vhdl写的,有一个LED-fpga simple counter function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:580259
    • 提供者:zx
  1. clock_counter_vhdl

    0下载:
  2. 电子时钟VHDL程序与仿真,10进制计数器VHDL程序-clock counter vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:61062
    • 提供者:jz
  1. counterjia23

    0下载:
  2. 一个最基础的23进制加法计数器,学习VHDL一定会遇到的。-One of the most 23 hexadecimal adder based counters, learn VHDL will be encountered.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:526
    • 提供者:xixi
  1. VHDL_100_1

    1下载:
  2. 第43例 四位移位寄存器 第44例 寄存/计数器 第45例 顺序过程调用 第46例 VHDL中generic缺省值的使用 第47例 无输入元件的模拟 第48例 测试激励向量的编写 第49例 delta延迟例释 第50例 惯性延迟分析 第51例 传输延迟驱动优先 第52例 多倍(次)分频器 第53例 三位计数器与测试平台 第54例 分秒计数显示器的行为描述6 第55例 地址计数器 第56例 指令预读计数器 第57例 加.c减.c乘指令的
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:341282
    • 提供者:zyw
  1. edacounter

    0下载:
  2. 用VHDL语言编写的计数器,在板子上运行成功,可以循环计数,加减计数,先置数后计数等-Counter with the VHDL language, in the board to run successfully, you can cycle counting, addition and subtraction counting, numbers, counting the first home
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1068847
    • 提供者:fana
  1. cout

    0下载:
  2. 模可以变计数器。可以实现任意模输入。采用VHDL文本编写。-Mode can be turned counter. Any mode input can be achieved. Text prepared by using VHDL.
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:501937
    • 提供者:xiaqi
  1. DZZ1

    0下载:
  2. 多功能数字钟 能进行正常的时、分、秒计时功能, 分别由6个数码管显示24小时、60分钟、60秒钟的计数器显示。   2. 能利用实验系统上的按键实现“校时”“校分”功能: 3. 能利用扬声器做整点报时-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:1024085
    • 提供者:chenshilin
  1. count

    0下载:
  2. 实现各种计数器的vhdl的实现方法,经过验证-many count
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:6827
    • 提供者:倪彦
  1. cr_counter

    0下载:
  2. 视频图像的行列计数器基于VHDL的实现,已经调试仿真通过-Video images VHDL-based implementation of the ranks of the counter has been adopted debugging emulator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:122875
    • 提供者:刘文英
  1. renyimo

    0下载:
  2. 这是一个用VHDL编写的计数器,是一个任意模的计数器,不过是个异步的-This is a work written in VHDL counter, is an arbitrary module of the counter, but is an asynchronous
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2986
    • 提供者:蔡斌
  1. clk_div16

    0下载:
  2. 一个用VHDL语言编写的1/16分频器,后续还有计数器、数据选择器、七段数码显示程序等软件平台是Quartus II 7.2 ,最后通过这些小的模块可以组合起来制作出一个时钟或者其它的任意进制计数器,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系QQ:782649157 -Written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:226059
    • 提供者:QQ
  1. display

    0下载:
  2. 一个用VHDL语言编写的七段数码管显示程序,后续还有分频器、数据选择器、计数器程序等软件平台是Quartus II 7.2 ,最后通过这些小的模块可以组合起来制作出一个时钟或者其它的任意进制计数器,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系QQ:782649157 -Written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:234240
    • 提供者:QQ
  1. count100

    1下载:
  2. 一个用VHDL语言编写的一百进制计数器。软件平台是Quartus II 7.2 ,由前面设计的小模块组合起来制作的,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系QQ:782649157 -Written in VHDL language using a binary counter 100. The
  3. 所属分类:VHDL编程

    • 发布日期:2017-06-29
    • 文件大小:322598
    • 提供者:QQ
  1. zhuangtaijijiaotongdeng

    0下载:
  2. 利用VHDL设计的另外一种方法的交通灯程序。在controller模块中设置了2个进程,其中一个用来控制内置计数器的增加,一个用来控制交通灯的显示。从controller模块中出来的时间是2位的BCD码,要进行数码管显示就需要得到2个一位的BCD码,因此就需要利用separate模块来实现。separate模块的思想也比较简单,就是利用比较然后得到相应的十位数和个位数。-In the controller module set up two processes, one of which is
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:13439
    • 提供者:
  1. counter10

    0下载:
  2. 这是一个十进制的计数器哦,是用vhdl语言开发出来的 是一个不错的十进制计数器-This is a decimal counter Oh, is vhdl language developed is a good decimal counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:28628
    • 提供者:朱迁虎
  1. paobiao

    0下载:
  2. 数字跑表,VHDL语言描述,已经过实验,包含有分频计、计数器,显示译码器-It has been tested,and it is described by VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:861907
    • 提供者:高建双
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 26 »
搜珍网 www.dssz.com