CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 计数器

搜索资源列表

  1. counter60

    0下载:
  2. 六十进制计数器的VHDL源程序代码,很实用-Six decimal counter VHDL source code, very useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:606
    • 提供者:李强
  1. shuzixitongn

    0下载:
  2. VHDL代码 计数器 完成一分钟内的计数,到59清零,提供4个频率选择-VHDL code counter finished within one minute count to 59 cleared, 4 frequency selection
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:681
    • 提供者:ArthurZhang
  1. fenpin

    0下载:
  2. VHDL编写的分频器,占空比为1:1,可以根据需要,修改计数器,完成不同频率的分频-Divider in VHDL, the duty cycle of 1:1, as needed, modify the counter, complete different frequency divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:527
    • 提供者:小幂控
  1. ADC_TLC549

    0下载:
  2. 实现ADC转换的VHDL代码,利用计数器分频产生1MHz的频率,在此频率下,读取八位的AD数据并存储供处理使用,根据实际需要转换成模拟电平。-ADC conversion of the VHDL code, the use of counter divider to generate a 1MHz frequency, frequency, read eight of the AD data and stored for processing, according to the actual n
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1009
    • 提供者:苏小白
  1. COUNT10

    0下载:
  2. 基于FPGA的一个带有异步复位和同步时钟使能的十进制加法计数器的设计,QuartusII编译通过,采用VHDL语言编写。-Based on FPGA with a reduction of asynchronous and synchronous clock can make the decimal additions counter design, QuartusII compile, USES the VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:242887
    • 提供者:左云华
  1. shu-kong-fen-pin-qi

    0下载:
  2. 数控分频器的功能就是当在输入端给定不同输入数据时将对输入的时钟信号有不同的分频比,数控分频器就是计数值可并行预置的加法计数器设计完成,方法是将计数溢出与预置数加载输入信号相接即可。利用QuartusII软件,可以用VHDL语言进行编写程序的放法进行对数控分频器的设计。这里不需要很好的数字电路的知识,只要懂得VHDL语句就可以实现对数字电路功能的设计。-NC divider function is that when given different input data at the input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2729
    • 提供者:xuling
  1. pcm

    1下载:
  2. 24选8多路选择计数器 PCM编解码,采编器VHDL 源代码,包括顶层文件。-PCM(Pule code modulation) code and decoder
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-06
    • 文件大小:1379
    • 提供者:周跃辉
  1. counter

    0下载:
  2. 用vhdl语言,在QuartusII下,时序逻辑电路设计(带置位的异步可逆(加1或减1)6进制计数器)-With vhdl language, in QuartusII under sequential logic circuit design (set asynchronous reversible (plus or minus) hexa counter)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:305483
    • 提供者:李晶盈
  1. lab2-part1

    0下载:
  2. FGPA,VHDL 实现16位加法计数器,从0计数到2^16-FGPA, VHDL 16 Addition counter counts from 0 to 2 ^ 16
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:71084
    • 提供者:lindamagic
  1. 550vvhdll0

    0下载:
  2. 50个VHDL常用的模块,包含计数器器,译码器,编码器,锁存器等等,可供参考 -50 VHDL commonly used modules, including the counter, decoder, encoder, latches and more is available for reference
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-03
    • 文件大小:44526
    • 提供者:分配
  1. VVHDDLexampllH

    0下载:
  2. VHDL的49个源码实例,源码实例丰富,有计数器、状态机机、寄存器、汉明纠错码编码器、游戏源码程序源码 -49 of VHDL source instance, the source instance, counters, state machines machine registers, the Hamming error correction code encoder, the game source program source code
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:45116
    • 提供者:CerisTao
  1. count10

    0下载:
  2. 利用VHDL编写的十进制计数器,测试成功,可以使用-Use VHDL to write decimal counter, the test is successful, you can use
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:452663
    • 提供者:wangsong
  1. jishuqi

    0下载:
  2. 利用VHDL编写的计数器程序,在Quarter two 上经过仿真验证正确-Counter program written using VHDL, through simulation Quarter two
  3. 所属分类:Driver Develop

    • 发布日期:2017-11-07
    • 文件大小:221133
    • 提供者:赵晓星
  1. VHDL_60-system_counter

    0下载:
  2. 用VHDL语言编写的简易60进制的可调节计数器,用于Xilinx ISE软件-A 60-digit system settable countr using VHDL, programming using Xilinx ISE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:207924
    • 提供者:Winson
  1. phase_test

    0下载:
  2. VHDL,简易音频数字相位表的设计与实现 数字相位测量仪在工业领域中经常用到的一般测量工具,主要应用与同频率正弦信号间的相位差的测量显示。 本系统采用FPGA实现测量的核心部分,主要由数字鉴相、累加计数器、控制器以及寄存与显示译码电路组成。该系统硬件电路简单,整个系统采用硬件描述语言VHDL作为系统内部硬件结构的描述手段,在XILINX公司的ISE9.1的软件支持下完成。可以对20Hz~20kHz频率范围内的音频信号进行采样鉴相处理,并将数据传回FPGA进行相位差计数累加、测量运算,最后送显
  3. 所属分类:Project Design

    • 发布日期:2017-11-15
    • 文件大小:1366795
    • 提供者:张学仁
  1. cnt16

    0下载:
  2. 含同步置零异步预置数功能的16进制计数器的VHDL实现,程序尽量简化,无冗余-16 counter VHDL implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:269056
    • 提供者:邱陈辉
  1. cntm60v

    0下载:
  2. 基于VHDL的60进制计数器代码,可以实现六十进制计数-60 binary counter based on the VHDL code can be achieved sexagesimal counting
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:317933
    • 提供者:包东生
  1. miaobiao

    0下载:
  2. 秒表应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了数字系统的开发时间。我们尝试利用VHDL为开发工具设计数字秒表。 秒表的逻辑结构较简单,它主要由十进制计数器、六进制计数器、数据选择器、和显示译码器等组成。在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲,除此之外,整个秒表还需有一个启动信号和一个清零信号,以便秒表能随意停止及启动。 秒表有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为B
  3. 所属分类:Com Port

    • 发布日期:2017-11-09
    • 文件大小:1705
    • 提供者:范增
  1. variabled-counter

    0下载:
  2. 这是一个变模计数器的vhdl程序,可以实现模值为9、11、13、15的计数功能。-This is a variable modulus counter vhdl program value 9,11,13,15 counting function can be achieved mold.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:3162
    • 提供者:仝侨
  1. counter

    0下载:
  2. vhdl语言做的4位可逆计数器和格雷码转换器,包括具体代码和仿真结果-vhdl language do four reversible counter and Gray code converter, including a specific code and simulation results
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:115419
    • 提供者:张瑞萌
« 1 2 ... 16 17 18 19 20 2122 23 24 25 26 »
搜珍网 www.dssz.com