CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 计数器

搜索资源列表

  1. generate-coordinates

    0下载:
  2. 使用VHDL编写语言,巧妙的利用计数器和循环输出一个坐标系,由于VHDL出现负数比较麻烦,全部由正数代替,输出一个原点在中心,半径128的256×256的坐标。方便坐标变换以及用此坐标做算法。-Use of VHDL language, clever use of counter and loop outputs a coordinate system, because VHDL negative too much trouble, all replaced by a positive numb
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:826
    • 提供者:韩韬
  1. VHDL_design

    1下载:
  2. 本综合实验包括节拍脉冲发生器、键盘扫描显示和八位二进制计数器三个模块。采用VHDL语言为硬件描述语言,Xilinx ISE 10.1作为开发平台,所开发的程序通过调试运行验证,初步实现了设计目标。-This includes comprehensive experimental beats pulse generator, display and keyboard scan eight binary counter three modules. Using VHDL as the hardwar
  3. 所属分类:software engineering

    • 发布日期:2017-03-24
    • 文件大小:90771
    • 提供者:陈芳
  1. danweitaichufaqi

    0下载:
  2. 用VHDL实现了单稳态触发器的计数器功能,配合单稳态触发器中的D触发器可以实现单稳态触发器功能。-Using VHDL realize counter function of monostable trigger, D trigger monostable trigger monostable trigger function can be achieved.
  3. 所属分类:Software Testing

    • 发布日期:2017-04-04
    • 文件大小:1058
    • 提供者:maobu
  1. the-realization-of-sin

    0下载:
  2. 利用VHDL语言,实现正弦波的产生,在此程序中,利用计数器原理实现-Using VHDL language, sine wave generation, in this procedure, the use of counter principle to achieve
  3. 所属分类:Wavelet

    • 发布日期:2017-04-14
    • 文件大小:4121
    • 提供者:布拉格宝贝
  1. Verilog

    0下载:
  2. VHDL Verilog 系统仿真实验 流水灯 加减法 计数器 序列检测 编码器 解码器等-VHDL Verilog 系统仿真实验 流水灯 加减法 计数器 序列检测 编码器 解码器等
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:508236
    • 提供者:赵航
  1. sy

    0下载:
  2. 利用VHDL语言设计的电子数字钟,有时、分钟、秒钟计数器、还有整点报时报警。-Design using VHDL language electronic digital clock, sometimes, minutes, seconds counter, as well as the whole point timekeeping alarm.
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:1980
    • 提供者:关山月
  1. yimaqi_beh

    0下载:
  2. 8位计数器作业中的behavioral描写,没有带testbench,已经通过-1. Construct VHDL models for 74-139 dual 2-to-4-line decoders using three descr iption types, i.e., behavioral, dataflow and structural descr iptions. Synthesize and simulate these models respectively in the en
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:767
    • 提供者:maria
  1. CNT10

    0下载:
  2. 通过Quartus II 软件,VHDL语言实现10进制计数器-Achieve 10 binary counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1349225
    • 提供者:旭旺
  1. Counter

    0下载:
  2. 用VHDL设计具有清除端、使能端,计数范围为0-999的计数器设计。输出为8421BCD码-VHDL design with a clear end to enable the end, the design for the counter counting range 0-999. 8421BCD code output
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:909
    • 提供者:胡冰涛
  1. 74LS160jishuqi

    1下载:
  2. 74ls160十进制可预置计数器VHDL语言代码-74ls160 decimal VHDL language code can be preset counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:587
    • 提供者:syt
  1. counter4

    0下载:
  2. 计数器 基于xilinx ise硬件描述语言-counter VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1100713
    • 提供者:yetj
  1. cny24

    0下载:
  2. 24进制加法计数器适用于vhdl和quartus-24 binary adder vhdl counter applied and quartus
  3. 所属分类:File Formats

    • 发布日期:2017-04-10
    • 文件大小:1107
    • 提供者:流火
  1. 100hexadecimalcounter

    0下载:
  2. 用vhdl对GAL22V10进行编程,实现100进制计数器-Using VHDL programming on GAL22V10, 100 hexadecimal counter
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:2668
    • 提供者:icsat
  1. scan_led3

    0下载:
  2. 用VHDL语言写的数码管扫描电路。压缩包中还包括多路复用器、译码器和计数器。-VHDL language used to write the digital scanning circuit. Compressed package also includes multiplexers, decoders and counters.
  3. 所属分类:Project Design

    • 发布日期:2017-04-23
    • 文件大小:499386
    • 提供者:罗克
  1. Example23

    0下载:
  2. 设计一款多功能数字秒表的VHDL小程序,产生100Hz时钟的分频计数器-Design a multi-function digital stopwatch VHDL applet, generate 100Hz clock divider counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:285183
    • 提供者:卢进
  1. EDA_frequency

    0下载:
  2. 非常好的程序,VHDL写的测频程序。适合做测频测相,多功能计数器。适合电赛的学生下载-Very good program
  3. 所属分类:software engineering

    • 发布日期:2017-05-14
    • 文件大小:3428285
    • 提供者:发哥
  1. Counter

    0下载:
  2. 通过VHDL编程,在FPGA上实现计数器1至16的计数功能-Count from 1 to 16 by VHDL on FPGA
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:294166
    • 提供者:Mr Wang
  1. shuzizhong

    0下载:
  2. 数字钟,校时较分,显示,用元件例化写的vhdl文件,两个24进制,1个60进制计数器-Digital clock, when the school over the points, show cases with elements of writing vhdl file, two 24-band, a 60-ary counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:468353
    • 提供者:范天恩
  1. ones_counter

    0下载:
  2. 8bit 的计数器,如文件名所示microprogram_controlled_ones_counter_constraints_ise6_bak。VHDL-8bit counter, as shown in the file name. VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1309605
    • 提供者:wendy
  1. counter_

    0下载:
  2. VHDL源代码+工程,可改变时钟的计数器-VHDL source code+ project, can change the clock counter
  3. 所属分类:assembly language

    • 发布日期:2017-05-09
    • 文件大小:1606862
    • 提供者:曾定坤
« 1 2 ... 18 19 20 21 22 2324 25 26 »
搜珍网 www.dssz.com