CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 计数器

搜索资源列表

  1. sub

    0下载:
  2. vhdl实现的计数器,可正向计数或逆向计数,周期为60-vhdl realized counter, it can count forward or backward, a loop is 60
  3. 所属分类:Other systems

    • 发布日期:2017-05-01
    • 文件大小:84337
    • 提供者:evelyn wang
  1. EDA

    0下载:
  2. 用VHDL语言编写的各种小模块,有走马灯,计数器,循环寄存器等-VHDL language with a variety of small modules, there is a revolving door, counters, registers, and so the cycle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:12245
    • 提供者:ChenDehua
  1. EDAEX3

    0下载:
  2. VHDL语言,异步十进制计数器,quartus-10 counter,quartus
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-23
    • 文件大小:6940377
    • 提供者:蓝海
  1. Adding-counter

    0下载:
  2. 1、 了解二进制计数器的工作原理。 2、 进一步熟悉QUARTUSII软件的使用方法和VHDL输入。 3、 时钟在编程过程中的作用。 - Including synchronous and asynchronous clear enabled adding counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:90797
    • 提供者:漆广文
  1. 0~99

    0下载:
  2. 通过VHDL语言编写的计数器,可以从0开始计数当计到99时再从0开始计数-Counter by VHDL language, you can start counting when the count 0 to 99 and then starts counting 0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:288463
    • 提供者:林迷糊
  1. counter8

    0下载:
  2. 使用vhdl语言和quartus平台建立的8位计数器的简单仿真-Using vhdl language and platform quartus established 8-bit counter simple simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2885702
    • 提供者:高成
  1. count

    0下载:
  2. 本实验利用VHDL 硬件描述语言设计一个0~9999 的加法计数器。根据一定频率的触发 时钟,计数器进行加计数,并利用数码管进行显示,当计数到9999 时,从0 开始重新计数。 SW0 为复位开关。当开关拨至高点平时,计数器归0,当开关拨至低电平时,计数器开始计数。 该电路包括分频电路,计数器电路,二进制转BCD 码电路和数码管显示电路。-This experiment uses VHDL hardware descr iption language to design a 0 ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:475404
    • 提供者:panda
  1. VHD-L-QUARTUS--Counter

    0下载:
  2. 基于QUARTUS软件的VHDL语言开发,文件中含有VHDL语言设计的分频器,加法减法计数器,并生成有原理图,只要有QUARTUS软件即可仿真运行。-VHDL QUARTUS Counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:11118607
    • 提供者:STAR LEE
  1. traffic_control1

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-06
    • 文件大小:602074
    • 提供者:Cherry_RF
  1. FPGA-Traffic-Light-Controller

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-05
    • 文件大小:64454
    • 提供者:Cherry_RF
  1. CNT12

    0下载:
  2. 通过一个简单完整而典型的12进制计数器的VHDL设计实例,来使大家初步了解用VHDL表达以及由此而引出的VHDL语言现象和语句规则。 让大家能够迅速的从整体上把握VHDL程序的基本结构和设计特点,达到快速入门的目的。 -Through a simple and complete and typical 12-band counter VHDL design examples, to make preliminary understanding of VHDL expression and the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:34158
    • 提供者:XINGJINGYU
  1. FPGA-8253

    0下载:
  2. 本文就基于 FPGA微机与接口实验平台设计的问题,首先讲述了 核心板的设计。在 FPGA基础上,以可编程计数器 / 定时器 8253 和可编程并行控制器 8255为例,并介绍了 8255 和 8253 接口芯片,用 VHDL语言设计了8255 和 8253 的功能,最后在 ModelSim SE开发软件上实现了编译、调试、-In this paper, based on FPGA computer and interface experimental platform design issues
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-17
    • 文件大小:581632
    • 提供者:吕攀攀
  1. syn_cnter_4_ok_siweijishuqi

    0下载:
  2. 本程序使用vhdl开发的实现四位计数器的功能。(This program uses VHDL developed to achieve the function of four counters.)
  3. 所属分类:文件格式

    • 发布日期:2017-12-15
    • 文件大小:158720
    • 提供者:zhihuidaxian
  1. m19

    0下载:
  2. 用VHDL语言实现74LS161的功能,以及用74LS161实现模19计数器的功能(Using VHDL language to achieve the function of 74LS161, as well as using 74LS161 to achieve modulo 19 counter function)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:262144
    • 提供者:山丘叶
  1. CNT12

    0下载:
  2. 运用VHDL语言编写的可实现12进制的计数器。(A 12 - scale counter written in VHDL.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:261120
    • 提供者:wo1582145
  1. LED_DISP

    0下载:
  2. 输入时钟4MHz,分频至1Hz,对时钟计数,LED显示输出,加使能EN和复位RST(Input clock 4MHz, frequency division to 1Hz, clock count, LED display output, add enable EN and reset RST)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1586176
    • 提供者:MmDawN
  1. epm240_example

    0下载:
  2. VHDL代码,共10个程序,分别是1分频器2状态机3计数器4拨码开关对应数码管显示5键盘及显示6键盘显示7交通灯8汉字滚动9ADC0804直流采样和显示10正弦波发生器(A total of 10 procedures, namely, 1 frequency dividers, 2 state machines, 3 counters, 4 dial switches, corresponding to digital tube display 5 keyboard and display 6
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:315392
    • 提供者:girl_lily
  1. kebenchengxu

    0下载:
  2. VHDL代码,一些课本的小程序。包含3线-8线译码器,4选1选择器,6层电梯,8线-3线编码器,8线-3线优先编码器,8选1,BCD-7段显示译码器真值表,半加器,摩尔状态机,数字频率计,数字时钟,同步计数器,序列检测器的设计,序列信号发生器,一般状态机等等。(The small program of some textbooks. Includes 3 -8 decoder, 4 1 selector, 6 elevator, line 8 Line 8 line -3 encoder, -3
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:40960
    • 提供者:girl_lily
  1. ise

    0下载:
  2. 在ise软件上,用VHDL语言,设计的数字跑表,可以两位计数,含分频器,计数器(In the ISE software, using VHDL language digital stopwatch design, can two counts, including frequency divider, counter)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:762880
    • 提供者:uestczzz
  1. counter4b

    0下载:
  2. Vivado同步计数器VHDL设计 具有异步复位和同步预置数功能 同步计数器同步计数器同步计数器(The Vivado synchronous counter VHDL is designed with asynchronous reset and synchronous preset function, synchronous counter, synchronous counter and synchronous counter.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:李毅飞表哥
« 1 2 ... 21 22 23 24 25 26»
搜珍网 www.dssz.com