CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 计数器

搜索资源列表

  1. iul

    0下载:
  2. 8.1 可编程并行接口芯片8255A 8.2 可编程定时器/计数器芯片8253/8254 8.3 串行通信及可编程串行接口芯片8251A 8.4 模/数(A/D)与数模(D/A)转换技术 及其接口 -8.1 programmable parallel interface chip 8255A8.2 programmable timer/counter chip 8253/82548.3 serial communications and programmable seri
  3. 所属分类:SCM

    • 发布日期:2017-05-30
    • 文件大小:13253789
    • 提供者:麦克
  1. clock

    0下载:
  2. 电子闹钟 clk: 标准时钟信号,本例中,其频率为4Hz; clk_1k: 产生闹铃音、报时音的时钟信号,本例中其频率为1024Hz; mode: 功能控制信号; 为0:计时功能; 为1:闹钟功能; 为2:手动校时功能; turn: 接按键,在手动校时功能时,选择是调整小时,还是分钟; 若长时间按住该键,还可使秒信号清零,用于精确调时; change: 接按键,手动调整时,每按一次,计数器加1; 如果长按,则连续快速加1,用于快速调时和定时; hour,
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:2278
    • 提供者:阿飞
  1. adder4

    0下载:
  2. 是用verilog写得加法器以及计数器里面有测试文件(testbench),对于初学者来说这个可以用来参考下-Is written in Verilog adder and counter inside a test file (testbench), for beginners this can be used to reference the next
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1386
    • 提供者:olive
  1. Electronic-Design-Automation-Vhdl

    0下载:
  2. 各种计数器,编码器,全加器等元件的VHDL语言描述-A variety of counters, encoders, such as full-adder components described in VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:14779
    • 提供者:徐靖
  1. q

    0下载:
  2. 数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时;显示满刻度为23时59分59秒,另外具备校时功能和报时功能。因此,一个基本的数字钟电路主要由“时”“分”“秒”计数器校时电路组成。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累加60秒发送一个“分脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计数器,可实现对一天24小时的累计。译码显示电路将“时”“分”“秒”计数器的输出状态六段显示译码器译码。通过六位LED七段显示器显示出
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:6602
    • 提供者:李苏铭
  1. jietifangbo

    1下载:
  2. 用计数器来完成阶梯拨程序设计以及方波的程序设计-With counters to complete the ladder as well as the allocation of programming procedures square design
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-09
    • 文件大小:29575
    • 提供者:小草
  1. sanjiaobo

    0下载:
  2. 利用计数器来实现三角波的程序设计任务,用于信号发生器-Use counters to achieve the triangular wave of program design tasks, for the signal generator
  3. 所属分类:Algorithm

    • 发布日期:2017-03-29
    • 文件大小:16472
    • 提供者:小草
  1. CNT10_T

    0下载:
  2. 这是同步十进制计数器的源程序,有需要的同学可以参照一下!-This is a source synchronous decimal counter, needy students can refer to you!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:34128
    • 提供者:逗号
  1. bhgfdti

    0下载:
  2. 含有七人表决器,格雷码变换电路,英文字符显示电路,基本触发器(D和JK),74LS160计数器功能模块,步长可变的加减计数器-Containing seven people vote, and Gray code conversion circuit, the English characters display circuit, the basic flip-flop (D and JK), 74LS160 counter function modules, variable-step add
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:423791
    • 提供者:俞皓尹
  1. jiaotongdeng

    0下载:
  2. 1). 用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。 2).由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行50秒,支干道每次放行30秒。 在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1935
    • 提供者:靓仔
  1. UART_SUCCESS

    0下载:
  2. 实现FPGA和上位机的串口通信,里面由波特率发生器,移位寄存器,计数器,detecter,switch,switch_bus等功能块综合而成。-FPGA implementation and the host computer' s serial communication, which by the baud rate generator, shift register, counters, detecter, switch, switch_bus such as function bl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1855434
    • 提供者:zhn
  1. VHDLbh

    0下载:
  2. 带获胜音乐的拔河游戏机,用计数器 译码器组成-With winning the tug-of-war music video game
  3. 所属分类:Game Program

    • 发布日期:2017-04-04
    • 文件大小:497046
    • 提供者:tommy
  1. t1

    0下载:
  2. 带清零和重置功能的十进制计数器,可以用LED灯显示结果-Cleared and reset with the decimal counter, can use LED lights display the results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1011431
    • 提供者:孟明川
  1. SingleclocksynchronousdesignmetricCNTR

    0下载:
  2. 用VHDL 设计的单时钟同步十进制可逆计数器的设计-VHDL design using a single clock synchronization decimal CNTR Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:543
    • 提供者:pengy
  1. shukongfenpin

    0下载:
  2. 数控分频器的输出信号频率为输入数据的函数。用传统的方法设计,其设计过程和电路都比较复杂,且设计成 果的可修改性和可移植性都较差。基于VHDL 的数控分频器设计,整个过程简单、快捷,极易修改,可移植性强。他可利用 并行预置数的加法计数器和减法计数器实现。广泛应用于电子仪器、乐器等数字电子系统中。-NC divider output signal frequency is a function of input data. Using traditional methods of desig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:173768
    • 提供者:邱颖
  1. clock

    0下载:
  2. 60进制计数器,采用十分简便的方法,能够很快速的完成计数功能。-60 M-ary counter, using a very simple way to very quickly complete the count function.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-26
    • 文件大小:429755
    • 提供者:黎明
  1. shuzipinluji

    0下载:
  2. 数字频率计的设计可以分为测量计数和显示。其测量的基本原理是计算一定时间内待测信号的脉冲个数,这就要求由分频器产生标准闸门时间信号,计数器记录脉冲个数,由控制器对闸门信号进行选择,并对计数器使能断进行同步控制。控制器根据闸门信号确定最佳量程。-The design of digital frequency meter can be divided into measurement and display count. The basic principle of its measurement i
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:54008
    • 提供者:黄花
  1. e3

    0下载:
  2. 4位可逆计数器:将50MHz的时钟进行 分频后的结果作为时钟控制,根据输入进行条件判断,再通过设置一个四位的向量将结果输出,利用数码管显示在实验板上-CNTR 4: will be conducted at 50MHz clock frequency as the clock after the control conditions to determine the basis of inputs, and then set up a four through the results of th
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-16
    • 文件大小:151884
    • 提供者:evelyn
  1. jishuqi

    0下载:
  2. 使用VHDL语言实现计数器功能 ……使用VHDL语言实现计数器功能 -Use VHDL language scaler functions……Use VHDL language scaler functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:86759
    • 提供者:潘燕萍
  1. times

    0下载:
  2. 计数器,用VHDL实现,先6分频,再10分频,24分频,同时可做万年历-Counter, using VHDL realization frequency first 6 hours, 10 minutes and then the frequency, frequency of 24 minutes, at the same time to do calendar
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:4027
    • 提供者:孔莉
« 1 2 3 45 6 7 8 9 10 ... 26 »
搜珍网 www.dssz.com