CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 计数器

搜索资源列表

  1. 8sfdsd

    0下载:
  2. 用VHDL实现的八位可逆计数器,可作为交流学习使用。-VHDL implementation with eight reversible counter can be used as the exchange of learning to use.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-11
    • 文件大小:540
    • 提供者:陆舰宇
  1. clock_counter

    0下载:
  2. 一个简易的时分秒自加计数器,没有设置功能-hour-minute-second counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1862
    • 提供者:Winson
  1. vhdl

    0下载:
  2. 使用 VHDL 描述计数器的设计、综合、仿真的全过程,很好用-Counter design using the VHDL descr iption, synthesis, simulation of the whole process, very good use
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-05-09
    • 文件大小:1946657
    • 提供者:里碰
  1. 74LS160

    0下载:
  2. 源码,VHDL语言编写的74LS160计数器-Source code, VHDL language of the 74LS160 counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:50102
    • 提供者:
  1. ElectronicClockandsimulationwithVHDL

    0下载:
  2. 电子时钟VHDL程序与仿真。包括:10进制计数器设计与仿真,6进制计数器设计与仿真,24进制计数器设计与仿真.-Electronic Clock and simulation of VHDL program. Includes: 10 binary counter design and simulation, 6 binary counter design and simulation, 24 binary counter design and simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:63221
    • 提供者:Zhu
  1. VHDL

    0下载:
  2. VHDL语言例程集锦,包括组合逻辑、计数器、移位寄存器、存储器等处理。-VHDL language routines Collection, including the combinational logic, counters, shift registers, memory and other processing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:173199
    • 提供者:liu
  1. VHDL

    0下载:
  2. 一些VHDL的简单实例,包括各种计数器,三人表决器等-Some simple examples of VHDL, including the various counters, three voting machines, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:43271
    • 提供者:dxeicho
  1. 10512210247008

    0下载:
  2. 该数字式相位测量仪以单片机 (89c52) 为核心 , 通过高速计数器 CD4040 为计数器计算脉冲个数从 , 而达到计算相位的要求 , 通过 8279 驱动数码管显示正弦波的频率,不采用一般的模拟的振动器产生 , 而是采用单片机产生 , 从而实现了产生到显示的数字化 . 具有产生的频率精确 , 稳定的特点 . 相移部分采用一般的 RC 移相电路 , 节省了成本。-The digital phase-measuring instrument in order to microcontrolle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:145822
    • 提供者:包进辉
  1. VHDLdigital

    0下载:
  2. 7段数码管译码器设计与实现 一.实验目的 1. 掌握7段数码管译码器的设计与实现 2. 掌握模块化的设计方法 二.实验内容 设计一个7段数码管译码器,带数码管的4位可逆计数器 [具体要求] 1. 7段数码管译码器 使用拨码开关SW3, SW2, SW1, SW0作为输入,SW3为高位,SW0为低位。 将输出的结果在HEX1,HEX0显示。当输入为‘0000’~‘1111’显示为00~15, 2. 带数码管的4位可逆计数器 将实验三的结果
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:89060
    • 提供者:爱好
  1. experiment4_play

    0下载:
  2. VHDL实验四,设计一个异步清零和同步时钟使能的4位加法计数器-VHDL Experiment 4, an asynchronous reset and synchronous design clock enable 4-bit adder counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:195145
    • 提供者:童长威
  1. fre_pwm

    0下载:
  2. 可以调整频率和占空比的大小,用计数器来实现。时钟越高精度越好-FREQUENCE DUTY CYCLE
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:669
    • 提供者:刘大仔
  1. DigitalClock

    0下载:
  2. 基于FPGA的数字电子钟设计,系统总程序由分频模块、“时分秒”计数器模块、数据选择模块、报时模块、动态扫描显示和译码模块组成。得到一个将“时”、“分”、“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外有校时、校分和整点报时功能,并通过数码管驱动电路显示计时结果。-FPGA-based design of digital electronic clock, the system program by the total frequency modul
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:63477
    • 提供者:sunnan
  1. count100

    1下载:
  2. 用VHDL语言编写的100进制计数器,计数到99后清零-VHDL language with the binary counter 100, count to 99 after the clear
  3. 所属分类:VHDL编程

    • 发布日期:2017-06-29
    • 文件大小:45080
    • 提供者:王沙沙
  1. clk_div

    0下载:
  2. 分频计数器verilog源代码,包括实验说明文档,清晰易懂.-this code can easily be understood and teaches you how to divide the clock.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:200134
    • 提供者:颜爱良
  1. adder

    0下载:
  2. 基本组合电路 含异步清零和同步时钟的加法计数器-Basic combinational circuits with asynchronous clear and the addition of synchronous clock counter
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:29984
    • 提供者:刘艳琴
  1. counter_bcd7

    0下载:
  2. bcd十进制计数器,用于频率计设计的计数器单元,输出zeros用于选通量程使用!-bcd decimal counter, the counter for frequency counter design unit, the output zeros for the use of strobe range!
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-04
    • 文件大小:525
    • 提供者:jim
  1. frequencymeter

    0下载:
  2. 测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。-Measuring the frequency of means, including electronic counter to measure frequencies with high precision, easy to use, fast measurement and easy to realize the advantages of automa
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:14278
    • 提供者:wangzhen
  1. timer

    0下载:
  2. 外设timer设计:16bit定时器、ETU计数器、具有3种可配置中断请求输出、内部寄存器的读写编程。-Peripheral timer design: 16bit timer, ETU counter, with 3 configurable interrupt request output, the internal register read and write programming.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4729
    • 提供者:gab
  1. sram

    0下载:
  2. 数据存储和读取电路以一个双端口SRAM为中心,用二进制计数器产生存取地址、以十进制计数器产生欲存储的数据,读出的数据经过LED七段译码,送LED数码管显示-Data storage and reading circuit in a dual-port SRAM as the central access address generated using a binary counter to generate For decimal counter data stored, read out th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:434550
    • 提供者:william
  1. xiyiji

    1下载:
  2. 洗衣机控制程序,包括分频器,计数器,触发控制器等。-Washing machine control procedures, including the divider, counter, trigger controller.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:6470
    • 提供者:羔羊
« 1 2 3 4 5 67 8 9 10 11 ... 26 »
搜珍网 www.dssz.com