CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL FPGA AD

搜索资源列表

  1. TLC549

    0下载:
  2. verilog TLC549AD采样程序 ,速度200K,在LED和数码管上显-verilog TLC549AD sampling procedures, the speed of 200K, in the LED and digital tube significantly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1805
    • 提供者:张建中
  1. adc0809ctrl

    0下载:
  2. 用fpga芯片使用vhdl语言对AD转换芯片ADC0809进行控制-Using the fpga chip use language of VHDL AD transform chip ADC0809 control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:171675
    • 提供者:avir
  1. Temperature_acquisition

    0下载:
  2. 用VHDL语言在FPGA上实现了8路温度数据的采样功能。控制THS1408芯片进行AD转换,采样后存入对应的8组寄存器,并产生使能信号通知其他模块进行8路数据的统一采样。-8-channel temperature data sampling function is implemented on FPGA using VHDL language. Control THS1408 chip AD converter, and sampled into the corresponding set o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2252
    • 提供者:张明
  1. ADC

    0下载:
  2. EDA技术中,用VHDL语言下载程序到FPGA中,用状态机实现AD转换-EDA technology, into the FPGA using VHDL language download the program using the state machine to the AD converter
  3. 所属分类:Software Testing

    • 发布日期:2017-04-04
    • 文件大小:120685
    • 提供者:朱文艺
  1. FEP1C3_12_7_SP

    0下载:
  2. 基于FPGA的信号采集及频谱分析,用VHDL编写,压缩包里是Quartus下的工程。AD采样用状态机实现现,并存入LPM_RAM。设计了一个UART模块(也是状态机实现的),可将数据发到PC机上。 已通过测试。 -FPGA-based signal acquisition and spectrum analysis, using VHDL prepared compression bag Quartus engineering. AD sampling using the state mac
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-07
    • 文件大小:214623
    • 提供者:l2003l
  1. 10bitADS

    0下载:
  2. 此VHDL程序是实现与单片机通讯,实现用单片机8个IO口控制FPGA做AD转换,且AD转换芯片是10bit,作高速AD转换。-This VHDL program is to achieve single-chip communication, control FPGA using a single-chip 8 IO port AD converter AD converter chip is a 10bit, for high-speed AD converter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:1301278
    • 提供者:wang xian
  1. AD976_6channel

    0下载:
  2. 软件是适用于FPGA的VHDL程序,目的是用于满足IEC61850-9协议的电子式互感器采样,软件采用的是AD976芯片,能同时进行6个通道的采样。-The software is based on vhdl for FPGA,which is used for electronic transformer fulfil IEC6185-9 protocol.the AD chip is AD976,it works at the state of 6 channels at the same
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:15618
    • 提供者:cjp
  1. adcontrol

    0下载:
  2. 采用VHDL编写的FPGA的AD转换读取逻辑。AD器件为TI ADS7961 -FPGA using VHDL prepared to read the AD conversion logic. AD device is a TI ADS7961
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2682
    • 提供者:raoxixin
  1. ad_contrl

    0下载:
  2. 本源代码是使用VHDL语言编写的AD转换控制模块的代码,实现了FPGA芯片对AD转换的控制。-Source code is the code using VHDL language AD converter control module to achieve the FPGA chip AD converter control.
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:3337
    • 提供者:孙永斌
  1. ADVHDL

    1下载:
  2. 用fpga控制ad采集,用vhdl编写,可控制采样率-With fpga control ad acquisition, with vhdl written to control the sampling rate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4046592
    • 提供者:任天鹏
  1. FPGA

    0下载:
  2. 韩福柱老师FPGA实验源码,用vhdl语言在xilinx FPGA上实现,包括ad采集,温度传感器读取,秒表,跑马灯和按键次数统计4个实验-Han Fu teacher FPGA column experiment source code, vhdl languages on xilinx FPGA implementations, including ad acquisition, temperature sensor readings, stopwatch, marquees and key
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:8433
    • 提供者:Jery
« 1 2 3»
搜珍网 www.dssz.com