CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL QUARTUS

搜索资源列表

  1. memoire_alphabet

    0下载:
  2. ALTERA NIOS处理器实验,QUARTUS下用VHDL编译成处理器。实现memory存储。-Altera NIOS processor experiments QUARTUS using VHDL compiler into processors. Achieving memory storage.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1287
    • 提供者:romMay
  1. lxy

    0下载:
  2. 一个简单形象的八位乘法器,VHDL语言汇编,在QUARTUS II 环境下运行-A simple image of eight multipliers, VHDL language compilation environment running under QUARTUS II
  3. 所属分类:assembly language

    • 发布日期:2016-01-26
    • 文件大小:1587200
    • 提供者:L
  1. reg_8_io_clrset

    0下载:
  2. ALTERA NIOS处理器实验,QUARTUS下用VHDL编译成处理器,reg的io口软件-Altera NIOS processor experiments QUARTUS using VHDL compiler into processor, the io I reg software
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2544
    • 提供者:clinea
  1. CNT10

    0下载:
  2. 用VHDL编写的10进制计数器,教学实例内容,在Quartus II 8.1下编译成功。-Using VHDL 10 binary counter, teaching examples content in Quartus II 8.1 compiled successfully.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:128949
    • 提供者:泠血
  1. EDAandVHDL2

    0下载:
  2. 包含本系列的第二部分,详细介绍了VHDL的总体情况并简单举例和Quartus II 使用方法。-The second part of this series contains a detailed descr iption of the overall situation and a simple example VHDL and Quartus II use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1569864
    • 提供者:周宏豪
  1. Quartus_FPGA_detect

    0下载:
  2. this a simple VHDL code on quartus that can detect a sequence of binary input, this files contain an DE2 pins assignements -this is a simple VHDL code on quartus that can detect a sequence of binary input, this files contain an DE2 pins assigne
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:316151
    • 提供者:takachy
  1. Digital-competition-responder

    0下载:
  2. 数字式竞赛抢答器的VHDL程序及实验报告,本抢答器是最多可容纳5位参赛者的数字式抢答器,在QUARTUS II平台中进行了仿真-VHDL procedures and lab reports Digital contest Responder, the Responder is for up to five contestants digital Responder, a simulation platform in QUARTUS II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:115594
    • 提供者:zhangling
  1. PtoS

    0下载:
  2. 在quartus中用vhdl语言实现了数据的串并变换,变换的位宽为7位位宽。-Achieve a data string and transform 7-bit wide
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2163
    • 提供者:唐利翰
  1. 11

    0下载:
  2. 介绍了应用FPGA芯片和硬件描述语言(VHDL)设计微波炉控制器系统的方法。系统使用VHDL编程实现各底层模块的功能,顶层的设计采用图形输入完成。论文主要阐述模块化设计的思想和状态图的描述方法,以及他们在硬件描述语言中的应用,并展示了其在Quartus II 开发系统下的仿真结果。-This paper introduces the application of FPGA chip and the hardware descr iption language (VHDL) method for
  3. 所属分类:software engineering

    • 发布日期:2017-05-07
    • 文件大小:1457903
    • 提供者:十禅
  1. the-digital-clock

    0下载:
  2. 本设计选用 ALTERA 公司的 EP1C12Q240C8 芯片,利用 VHDL 语言采用自 顶向下的方法在 Quartus Ⅱ环境下完成了数字钟的设计,最后在实验箱上进行测 试。该数字钟包含的功能有计时、显示星期、校时校分、清零、整点报时、音乐 闹铃。-The design uses the silicon chip EP1C12Q240C8 produced by the company of ALTERA. And with the help of VHDL, the de
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:231232
    • 提供者:费孝海
  1. auto_baud_with_tracking

    0下载:
  2. ALTERA NIOS处理器实验,QUARTUS下用VHDL编译成处理器,自动band跟踪小程序-Altera NIOS processor experiments QUARTUS using VHDL compiler into processors, automatic tracking small band procedure
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-26
    • 文件大小:8046
    • 提供者:ofaro
  1. bcd_to_binary

    0下载:
  2. ALTERA NIOS处理器实验,QUARTUS下用VHDL编译成处理器,bcd码转bin-Altera NIOS processor experiments QUARTUS using VHDL compiler into processor, code switch bin bcd
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2376
    • 提供者:hecoun
  1. binary_to_bcd

    0下载:
  2. ALTERA NIOS处理器实验,QUARTUS下用VHDL编译成处理器,bin转bcd-Altera NIOS processor experiments QUARTUS using VHDL compiler into processor, bin turn bcd
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2134
    • 提供者:alsosho
  1. dig_clk

    0下载:
  2. 实现vhdl数字钟 实现时分秒调时 消抖等功能 采用quartus编程实现 -digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:920257
    • 提供者:钱春雷
  1. 690699632090261

    0下载:
  2. 基于VHDL电子密码锁的设计,用VHDL语言和quartus软件仿真得出结果-VHDL-based design of electronic locks
  3. 所属分类:Document

    • 发布日期:2017-04-27
    • 文件大小:451813
    • 提供者:程二涛
  1. clock_end

    0下载:
  2. 基于Quartus II 9.0 的电子时钟,用VHDL语言编写,显示时钟,星期等,可以调整。-Quartus II 9.0-based electronic clock, using VHDL language, display clock, week, etc., can be adjusted.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:991072
    • 提供者:严科
  1. FFT

    0下载:
  2. FPGA下用VHDL实现的基2 cooley-tukey的8位FFT算法,在quartus ii环境下验证成功。-Under FPGA with base 2 cooley-tukey of 8 FFT algorithm VHDL realize, in quartus ii environment successfully verified.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-23
    • 文件大小:7577382
    • 提供者:lht
  1. xiyiji

    0下载:
  2. 本程序是在quartus中编写,实用的是VHDL语言,实现了步进电机模拟洗衣机的过程-VHDL
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:3270
    • 提供者:赵鹏
  1. CPU

    0下载:
  2. 运用vhdl硬件描述语言在quartus II开发环境下独立设计与实现了基于精简指令集的五级流水线CPU的设计与实现。该流水CPU包括:取指模块,译码模块,执行模块,访存模块,写回模块,寄存器组模块,控制相关检测模块,Forwarding模块。该CPU在TEC-CA实验平台上运行,并且通过Debugcontroller软件进行单步调试,实验表明,该流水线CPU消除了控制相关、数据相关和结构相关。-Using vhdl hardware descr iption language developm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:822262
    • 提供者:wang
  1. adder2

    0下载:
  2. 全加器的VHDL数据流描述,提供VHDL代码 可以用Quartus 和MAX PLUS-full adder
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:34113
    • 提供者:陈叶倩
« 1 2 ... 27 28 29 30 31 3233 34 35 »
搜珍网 www.dssz.com