CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL-FPGA

搜索资源列表

  1. VHDL

    0下载:
  2. 双口RAM模块源代码(VHDL),用于开发FPGA的双口RAM,可以直接下载到工程中使用。-Dual-port RAM module source code (VHDL), for the development of FPGA' s dual-port RAM, can be directly downloaded to the project use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:738
    • 提供者:wu
  1. FPGA

    0下载:
  2. 使用VHDL实现的串口通信程序,主要完成利用串口收发数据等功能 -Using the VHDL implementation of the serial communication program, primarily the completion of functions such as send and receive data using serial port
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5052
    • 提供者:lionkurmose
  1. usb_fpga_1_2_latest.tar

    0下载:
  2. USB2.0的FPGA内核,使其可以通过FPGA控制CY公司出品的CY7C68013USB微控制器,对USB设备进行读写操作。-• Xilinx Spartan-3 XC3S400 FPGA • High-Speed (480 MBit/s) USB interface via Mini-USB connector (B-type) • Cypress CY7C68013A/14A EZ-USB-Microcontroller • 60 G
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:328861
    • 提供者:赵恒
  1. bin_copy

    0下载:
  2. FPGA驱动12864汉字显示源代码,12864是16个引脚的带字库的液晶显示模块-12864 Chinese character display FPGA-driven source code, 12864 is a 16-pin LCD display module with a font
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3276544
    • 提供者:tdgyh
  1. vhdl-TAXI

    0下载:
  2. 随着EDA技术的发展及大规模可编程逻辑器件CPLD/FPGA的出现,电子系统的设计技术和工具发生了巨大的变化,通过EDA技术对CPLD/FPGA编程开发产品,不仅成本低、周期短、可靠性高,而且可随时在系统中修改其逻辑功能。本文利用VHDL语言设计出租车计费系统,使其实现汽车启动、停止、暂停时计费以及预置等功能,通过设置计数电路进行路费及路程的计数,通过设计数据转换电路将路费及路程的十进制数分离成四位十进制数表示,通过设计快速扫描电路显示车费及路费,突出了其作为硬件描述语言的良好的可读性的优点。通
  3. 所属分类:software engineering

    • 发布日期:2017-03-25
    • 文件大小:269650
    • 提供者:stella
  1. DSP_Algorithms

    0下载:
  2. 基于FPGA的DSp算法转换方法-由matlab程序转换为VHDL-FPGA-based algorithm for DSp conversion method- from the matlab program is converted to VHDL
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:147915
    • 提供者:dtcxh
  1. DDS-FPGA

    0下载:
  2. 基于FPGA的DDS资料!个人搜集的 可直接编译-FPGA-based DDS information!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6350500
    • 提供者:eva
  1. mp3_decoder

    0下载:
  2. We are trying to make a mp3 decoder over a Spartan3E FPGA, we find a core, but still working to make it works.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:132887
    • 提供者:andres felipe
  1. FPGA

    0下载:
  2. 关于FPGA的A/D(ADS7844)转换器电路设计-On the FPGA of the A/D converter circuit design
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:260857
    • 提供者:keya
  1. FPGA

    0下载:
  2. fpga在步进电机驱动上的应用实例及代码-fpga stepping motor drive in the application example and the code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:268489
    • 提供者:liyue
  1. OV7620_FPGA

    0下载:
  2. ov7620 fpga图像采集系统 期刊论文全文-ov7620 fpga image acquisition system, the full text journal papers
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:371931
    • 提供者:caizuhong
  1. RAKE_FPGA

    0下载:
  2. RAKE技术与CDMA系统相结合,能够带来系统容量和通信质量的极大提 高。根据军事通信中对设备便携性及低功耗的特殊要求,本文研究了一种便携式 基站的收发系统,重点研究了其中的RAKE接收部分。给出了系统的发送方案和 接收方案,对接收机部分所涉及的关键技术和算法,包括数字下变频技术、匹配相关技术、多径搜索技术、信道估计技术、解调及多径合并技术进行了较为详细的分析和说明。在此基础上,运用VHDL语言进行了硬件平台上FPGA部分的功能实现,并对整个系统进行了调试,给出了一些相关的仿真及测试
  3. 所属分类:Project Design

    • 发布日期:2016-11-16
    • 文件大小:2499932
    • 提供者:徐进
  1. cordic

    0下载:
  2. cordic算法的VHDL实现,在FPGA下应用-cordic VHDL algorithm implemented in the FPGA application under
  3. 所属分类:Data structs

    • 发布日期:2017-03-31
    • 文件大小:48064
    • 提供者:范丹丹
  1. DDS-FM-FPGA

    0下载:
  2. DDS介绍,FM信号发生器的设计!基于DDS技术的FM信号发生器的设计及其FPGA实现-DDS introduced, FM Signal Generator! FM signal based on DDS technology and FPGA Implementation Generator
  3. 所属分类:Project Design

    • 发布日期:2017-03-26
    • 文件大小:356572
    • 提供者:雨夜里
  1. 100503

    0下载:
  2. FPGA有价值的27个编程例子。包括LED控制,LCD控制,ASK调制与解调,DAC0832接口电路程序-27 example about FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-05-06
    • 文件大小:1278533
    • 提供者:allen cen
  1. STOPWATCH

    0下载:
  2. 是基于FPGA/CPLD的跑表程序,可以存储记录多个运动员的跑步时间,是利用VHDL语言编写的,可以有助于学习EDA技术,可以参考学习,可以帮助你完成VHDL语言的课程设计。-Is based on FPGA/CPLD s stopwatch program, many athletes can store records of running time, is the use of VHDL language, and can help to learn EDA, can refer to t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:661825
    • 提供者:王亮
  1. FPGA_NAND_FLASH

    0下载:
  2. 基于FPGA的NAND FLASH控制器-FPGA-based NAND FLASH controllers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:92822
    • 提供者:jiang
  1. 13.6

    0下载:
  2. tlc549 VHDL 电压表 FPGA 数模转换-tlc549 VHDL FPGA DAC voltage meter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:921811
    • 提供者:喻炜
  1. adc0809

    0下载:
  2. VHDL FPGA ADC0809 数模转换 状态机-VHDL FPGA ADC0809 DAC state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:138625
    • 提供者:喻炜
  1. IIR(vhdl)

    1下载:
  2. 基于fpga的数字滤波器设计的vhdl源代码-Fpga digital filter design based on the vhdl source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-06-07
    • 文件大小:7440
    • 提供者:sunnyhp
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 50 »
搜珍网 www.dssz.com