CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog HDL

搜索资源列表

  1. source-(5)

    0下载:
  2. FFT using Verilog-HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3784
    • 提供者:Jake
  1. X-HDL

    1下载:
  2. 一款可以在verilog和VHDL之间互换的工具,经测试,暂无bug-A verilog and VHDL can be exchanged between the tools, tested, no bug
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-04-29
    • 文件大小:3962880
    • 提供者:邵文熙
  1. verilog-Streamline-tutorial

    0下载:
  2. Verilog HDL 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构 组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模 语言。此外, Verilog HDL语言提供了编程语言接口,通过该接口可以在模拟、验证期间从设 计外部访问设计,包括模拟的具体控制和运行。-Has the following descr iption of Verilog HDL language ability: the behavior of the des
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3078015
    • 提供者:zuo
  1. synth_fft

    0下载:
  2. fftprocessing can complete 256 pointsFFT.-Hardware Descr iption Language(HDL)is an advanced electronic designmethod.After HDL was put into use,it has draw great attention and gained popularity.The design used Verilog HDL and Schematic for entry tools
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:56161
    • 提供者:zzy
  1. hd_source

    0下载:
  2. 基于Verilog HDL的视频测试pattern发生器。内置各种常见模式。-Verilog HDL-based video test pattern generator. Built-in a variety of common models.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2114
    • 提供者:
  1. cordic4_2

    0下载:
  2. CORDIC算法相角模式HDL实现源码,Verilog HDL书写,10级迭代,仿真验证通过。-CORDIC algorithm implementation phase model HDL source code, Verilog HDL writing, 10 iterations, the simulation is verified.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2051
    • 提供者:朱利华
  1. verilog-hdl_135

    0下载:
  2. Verilog HDL 135例源码,Verilog开发指南实例-135 samples source code of Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:197900
    • 提供者:Sandy
  1. hua-wei-verilog

    0下载:
  2. 华为Verilog HDL入门教程 仅供内部使用-Huawei Verilog HDL Tutorial for internal use only
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:345595
    • 提供者:liyucai
  1. waveform

    0下载:
  2. Verilog HDL数字系统设计项目,频率可调的任意波形发生器,可以输出正弦波、方波、三角波和反三角四种波形-Verilog HDL digital system design projects, adjustable frequency arbitrary waveform generator can output sine wave, square wave, triangle wave and the anti-triangular four waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2274171
    • 提供者:saln
  1. Verilog-HDL-standard

    0下载:
  2. VERILOG的编码设计规范,使你的程序容易被理解,阅读和维护-VERILOG coding design specifications, to make your program easier to understand, read and maintain
  3. 所属分类:File Formats

    • 发布日期:2017-04-01
    • 文件大小:5880
    • 提供者:顾善昉
  1. 8fenpin-verilog

    0下载:
  2. 用verilog HDL实现8分频,可作为时钟8分频器-Verilog divide by 8 to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:9578
    • 提供者:qhd
  1. _Verilog-HDL

    0下载:
  2. Verilog HDL 华为入门教程 PDF格式,详细的讲解,教你入门-Verilog HDL Huawei Tutorial PDF format, in detail, teaching you started
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:263482
    • 提供者:pandan
  1. Verilog-HDL-jiaocheng

    0下载:
  2. verilog 教程,里边有很多个verilog学习方面的教程,有开发板自带的一些,还有些是本人自己收集整理的,很实用,也适合FPGA爱好者,很不错。-verilog tutorials inside many a verilog tutorial learning, development board comes with some, and some is my own collated, it is practical, but also for FPGA enthusiasts, ver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-17
    • 文件大小:26687547
    • 提供者:xhl
  1. EPM240

    1下载:
  2. 开发板配套教程里的很多个实验 方便从初学开始 含有VHDL和verilog HDL语言-Development board supporting the many tutorial easy experiments start from the beginner with the language VHDL and verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-21
    • 文件大小:14445568
    • 提供者:menshen08
  1. Verilog

    0下载:
  2. 第1章 Verilog HDL入门2008 第2章 Verilog的模块2008 第3章 Verilog的基础知识2008 第4章 Verilog的语句2009-Chapter 1 Introduction to Verilog HDL Verilog 2008, Chapter 2, Chapter 3 of the module in 2008 the basics of Verilog 2008, Verilog statements in Chapter 4, 2009
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2652299
    • 提供者:宇龙
  1. waveform_-generator

    0下载:
  2. 简易信号波形发生器,可以产生四种波形,频率1k-20K步进可调。学习Verilog HDL的好例子。-imple signal waveform generator, can produce four waveform, frequency 1 k-20 k step can be adjusted. Learning Verilog good example of HDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1227311
    • 提供者:方芳
  1. Verilog-HDL

    0下载:
  2. 超大规模集成电路的课件,非常有用,是西电的专业课的课件。此教程属于高级教程-learning material of verilog
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-29
    • 文件大小:2372745
    • 提供者:电先生
  1. key

    0下载:
  2. verilog HDL硬件语言的按键扫描程序,很精简准确,十多次试验的总结与积累-verilog HDL language key scanner hardware, very concise accurate summary of a dozen experiments and the accumulation of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:10010
    • 提供者:栞谛
  1. 44key-pad

    0下载:
  2. 用verilog hdl语言实现4*4键盘扫描的小程序-With the verilog hdl language 4* 4 keyboard scan applet
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:440437
    • 提供者:何山鹏
  1. design-of-CAN-based-on-VHDL

    1下载:
  2. 基于Verilog+HDL设计CAN控制器,详细介绍各功能模块的设计。本论文的重点是CAN总线通信控制器的前端设计。即用Verilog HDL语言完成CAN协议的数据链路层的RTL级设计,实现其功能,并且能够在FPGA开发平台Quartos上通过仿真验证,证明其正确性-Verilog+ HDL-based design of CAN controller, detailed design of each functional module. This paper focuses on the C
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2615796
    • 提供者:chen xinwei
« 1 2 ... 21 22 23 24 25 2627 28 29 30 31 ... 50 »
搜珍网 www.dssz.com