CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog HDL

搜索资源列表

  1. VERILOG

    0下载:
  2. 王金明老师的数字系统设计与Verilog HDL中,讲述的100个实例的Verilog源代码-Wang Jinming teacher " Digital System Design with Verilog HDL" about 100 instances of Verilog source code
  3. 所属分类:source in ebook

    • 发布日期:2017-11-22
    • 文件大小:171891
    • 提供者:lnf
  1. verilog

    0下载:
  2. verilog HDL全自动洗衣机控制器-verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:3088
    • 提供者:刘进
  1. Verilog

    0下载:
  2. VERILOG HDL教程ppt,简单易懂,迅速学习,快捷方便,易于功能实现-VERILOG HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-08
    • 文件大小:87854
    • 提供者:刘进
  1. Verilog

    0下载:
  2. Verilog HDL高级数字设计 上海交大微电子学院 何卫锋 蒋剑飞-Advanced Digital Design Verilog HDL He Weifeng Jiang Jianfei, Shanghai Jiaotong University School of Microelectronics
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:2390336
    • 提供者:吴小米
  1. Verilog-HDL-huawei-rumen

    0下载:
  2. 华为入门的verilog资料,希望能给大家带来点小小的收获-Verilog Huawei entry information, I hope we can bring a small harvest
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:262695
    • 提供者:吴佳琪
  1. Verilog-Elite-book

    0下载:
  2. verilog hdl 编程实例及说明, 很好的初学者参考资料, 希望有所帮助.-the verilog hdl Programming examples and descr iptions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:2715479
    • 提供者:gxw
  1. lab-1-ALU-design-with-Verilog-HDL

    0下载:
  2. cpu设计的运算器部分verilog代码,实验资料,包括原理和代码,在modelsim仿真通过-CPU design arithmetic unit part of the verilog code, experimental data, including the principle and code, through the modelsim simulation
  3. 所属分类:Project Design

    • 发布日期:2017-11-07
    • 文件大小:19554
    • 提供者:张明明
  1. i2c-verilog-vhdl

    0下载:
  2. I2C总线VHDL/Verilog HDL源码 通过仿真验证正确,希望对大家有用-I2C bus VHDL/Verilog HDL source code is verified by simulation is correct, we hope to useful
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-11-07
    • 文件大小:679048
    • 提供者:xumeng
  1. 4fsk-Verilog-HDL

    1下载:
  2. 基于Verilog HSL的4psk调制解调-very nice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:754145
    • 提供者:陈少峰
  1. verilog-uart-rs232

    0下载:
  2. verilog HDL 描写的uart程序 由PC端接收然后+1返回 等等 东南大学09级4系综合课程设计-verilog HDL descr iption uart program Received by the PC side and then+1 back。 SEU..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:588345
    • 提供者:yu
  1. verilog-uart

    1下载:
  2. UART(Universal Asynchronous Receiver Transmitter,通用异步收发器)是广泛使用的异步串行数据通信协议。下面首先介绍UART硬件接口及电平转换电路,分析UART的传输时序并利用Verilog HDL语言进行建模与仿真,最后通过开发板与PC相连进行RS-232通信来测试UART收发器的正确性。-UART (Universal Asynchronous Receiver Transmitter, Universal Asynchronous Receive
  3. 所属分类:Software Testing

    • 发布日期:2016-11-15
    • 文件大小:117760
    • 提供者:李科
  1. fpga-jpeg-Verilog

    1下载:
  2. jpeg ip核解码器,可以用来解码jpeg,verilog源代码-jpeg ip core for verilog HDL
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-31
    • 文件大小:109758
    • 提供者:wanghaiwei
  1. Verilog-HDL

    0下载:
  2. 给出了学习verilog心得,其中包含了典型的代码例子,适合初学入门。-verilog program demo and method.
  3. 所属分类:Project Manage

    • 发布日期:2017-04-16
    • 文件大小:101116
    • 提供者:李栋
  1. Verilog-Accumulator

    0下载:
  2. the folder contains two files written by Verilog HDL. the first one is an implementation of an accumulator that takes serial data as an input, and its output will be an accumulated sum of each consecutive four input samples. the second file is a te
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:848
    • 提供者:sawsan
  1. verilog-ddr-sdram

    0下载:
  2. 用verilog实现的ddr sdram控制器-ddr sdram by verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:752464
    • 提供者:黄志沛
  1. Verilog-HDL-based-signal-generator

    0下载:
  2. 应用Verilog进行编写四种波形发生的程序,并结合DE2板与DVCC实验板上的D/A转换器在示波器显示出波形。初步了解Verilog的编程及DE2板的应用,加强对其的实际应用操作能力。-Verilog waveform application process for the preparation of the four occurred, combined with D DE2 board and DVCC experimental board/A converter in the osci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:353832
    • 提供者:秦雯
  1. Verilog-tutorial

    0下载:
  2. verilog语言教程 HDL语言的速成指南-Quick Guide verilog HDL language language tutorial
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4954992
    • 提供者:张乃夫
  1. Verilog-Digital-control

    0下载:
  2. Verilog HDL数字控制系统设计实-冼进-源代码-4469-Verilog HDL digital control system design implementation- Xian Jin- source code-4469
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11796911
    • 提供者:genghelong
  1. Verilog-HDL_01

    0下载:
  2. (Prentice) Verilog HDL--Guide to Digital Design & Synthesis (2nd.Ed.)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1723341
    • 提供者:Steven
  1. 基于VERILOG HDL的建议电子琴

    1下载:
  2. 7个按键控制1234567个音,3首内置乐曲,按键可播放,可切换
  3. 所属分类:文档资料

« 1 2 ... 25 26 27 28 29 3031 32 33 34 35 ... 50 »
搜珍网 www.dssz.com