CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog LED

搜索资源列表

  1. logic

    0下载:
  2. Verilog霓虹灯,通过控制LED灯的亮或灭,根据四个开关来选择图案,四个开关来控制显示模式。按钮可加速或减速。-Verilog neon, by controlling the LED lights on or off, according to the four switches to select the pattern, the four switches to control the display mode. Button to speed up or slow down.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-21
    • 文件大小:34357681
    • 提供者:Liao Jinxing
  1. LED_Verilog

    0下载:
  2. This contain the Verilog code for LED in FPGA Spartan 3E kit. All codes are tested and bit file are ready to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1972419
    • 提供者:gurhans
  1. led_out

    0下载:
  2. 用verilog语言,利用可编辑逻辑器件,练习点亮一个led灯-With verilog language, the use of programmable logic devices, a practice led lights lit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:233111
    • 提供者:公秀田
  1. sw_led

    0下载:
  2. 用Verilog代码编写,利用拨码开关控制led灯的显示,-Written in Verilog code using the DIP switches control led light display,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1649642
    • 提供者:吴平
  1. Experiment01

    0下载:
  2. Fpga入门实验一:点亮led灯。verilog语法-Fpga entry Experiment 1: light led lights. verilog syntax
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:500696
    • 提供者:口碑尹
  1. PWM

    0下载:
  2. 基于FPGA的PWM的一小段代码!用VERILOG 写的,主要是控制一盏led灯的亮度问题-Based on FPGA PWM of small pieces of code! VERILOG with written, main is to control a lamp that led lamp brightness problem
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:3032550
    • 提供者:zhangyuguang
  1. led_flash

    0下载:
  2. LED闪烁适合初学者联系使用,LED流水灯程序 -LED-flash LED LIUSHUIDENG VERILOG HDL FPGA适合初学者联系使用,LED流水灯程序
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:185166
    • 提供者:舒丹
  1. qiangdaqi

    0下载:
  2. verilog hdl实现的三路抢答器,一个复位键,八个数码管,五个LED灯,晶振为12 MHz 采用CPLD 器件为ALTERA 的EPM7064SL-44芯片 -verilog hdl implementation of three-way Responder, a reset button, eight digital control, five LED lights, crystal is 12 MHz ALTERA CPLD device is using the E
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1811
    • 提供者:李瑞芳
  1. qiangdaqilunwen

    0下载:
  2. verilog hdl实现的三路抢答器,一个复位键,八个数码管,五个LED灯,晶振为12 MHz 采用CPLD 器件为ALTERA 的EPM7064SL-44芯片 -verilog hdl implementation of three-way Responder, a reset button, eight digital control, five LED lights, crystal is 12 MHz ALTERA CPLD device is using the E
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:93087
    • 提供者:李瑞芳
  1. _1_turn_on_led

    0下载:
  2. turn on a LED using verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:234469
    • 提供者:裴岩明
  1. _6_key_led_with_debounce

    0下载:
  2. 键盘去抖,verilog控制LED发光,cyclone -键盘去抖,verilog控制LED发光,cyclone ii
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:158713
    • 提供者:裴岩明
  1. digitron_driver_V

    0下载:
  2. 关于easy fpga开发板的led数码管的驱动; 此为verilog程序 --输入:控制端ctrl_digin[2:0]共三位,表示(0~7)控制8个数码管的选通, -- 数据端dig_dtin[3:0]共四位,表示(0~F)控制数码管显示的数字 -- 控制时钟clk_dig一位用于时钟同步 --输出:显示dig_dtout[6:0]共七位,控制A,B,C,D,E,F,G[6:0]小数点不包括在内; -- 控制位ctrl_digout[7:0]共八位,任意时
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:871
    • 提供者:陈伟峰
  1. _1_turn_on_led

    0下载:
  2. verilog实例 点亮LED[1]、LED[3]、LED[5]、LED[7] (1)源文件 turn_on_led.v (2)管脚分配 pins list.txt -verilog实例 点亮LED[1]、LED[3]、LED[5]、LED[7] (1)源文件 turn_on_led.v (2)管脚分配 pins list.txt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:41312
    • 提供者:李程序
  1. _2_sw_led

    0下载:
  2. verilog实例2 sw_led 使用拨动开关控制LED亮灭 (1)源文件 sw_led.v (2)管脚分配 pins list.txt -2 sw_led 使用拨动开关控制LED亮灭 (1)源文件 sw_led.v (2)管脚分配 pins list.txt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:51252
    • 提供者:李程序
  1. _5_key_led_without_debounce

    0下载:
  2. verilog实例5 key_led_without_debounce 使用KEY控制LED亮灭,无按键消抖 (1)源文件 key_led.v (2)管脚分配 pins list.txt -5 key_led_without_debounce 使用KEY控制LED亮灭,无按键消抖 (1)源文件 key_led.v (2)管脚分配 pins list.txt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:54902
    • 提供者:李程序
  1. project

    0下载:
  2. xc3s400-ht开发板上源码verilog HDL code,能过方向按键可以控制对应LED灯的闪灯频率和流水方向、闪烁频率从2HZ到50HZ-xc3s400-ht Development Board source Verilog HDL-code kan richtingstoetsen kan de overeenkomstige LED-lampjes knipperen frequentie en water, de knipperfrequentie 2Hz besturen 50
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1177854
    • 提供者:wind
  1. Seg7decode

    0下载:
  2. verilog HDL的7段数码管译码代码,可以使用-7 segment LED decoder with verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:601
    • 提供者:杨鹏
  1. PS2_keyboard_driver

    0下载:
  2. verilog写的键盘按键扫描接口,并在7段数码管上显示断码和通码,在LED流水灯上实现滚动显示。想学习PS2键盘扫描这块的童鞋可以下载看看,代码写的还行。-verilog to write a keyboard key scan interface displayed on the 7-segment digital tube broken code and pass code, scrolling display on the LED light water. Want to learn a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:498280
    • 提供者:
  1. Veleevzipe

    1下载:
  2. Verilog 电梯控制器设计 设计一个八层楼房自动电梯控制器,用八个 LED显示出来电梯行进过程,并有数码管显示出来电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的的LED 亮亮。 用 CLK脉冲控制电梯运动,每来一个 CLK脉冲电梯升(降)一层。电梯到达有请求的楼层后,该层次的指示灯灭,电梯门打开(开门指示灯亮),开门 5 秒后,电梯门自动关闭,电梯继续运行。 -Automatic elevator controller Verilog elevator
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:76908
    • 提供者:leyuan
  1. DE0_NANO_default

    0下载:
  2. PWM波控制LED亮度,使用Verilog语言,开发环境为Altera的quatus 11,使用的的DE0-Nano-PWM wave control LED brightness, the use of the Verilog language development environment for Altera' s quatus 11, use the DE0-Nano
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:38186
    • 提供者:程巍
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 18 »
搜珍网 www.dssz.com