CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog Quartus

搜索资源列表

  1. key_scan1

    0下载:
  2. 用verilog实现的四乘四键盘程序,在Quartus II上编译通过并成功-achieved using Verilog 4 x 4 keyboard procedures, the Quartus II compiler on the adoption and successful
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:595105
    • 提供者:大圣
  1. videofram

    0下载:
  2. 用CPLD控制图像卡进行帧存逻辑的verilog程序,用Quartus II 5.0打开-with CPLD control image frame buffer cards logical verilog procedures, Quartus II 5.0 Open
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:1325
    • 提供者:陈刚峰
  1. fir_finall

    0下载:
  2. 用verilog编写的fir滤波器程序,开发环境可以用ise quartus或active hdl等-verilog prepared with the fir filter process development environment can be used ise quartus or other active hdl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1233
    • 提供者:刘东
  1. fcout

    0下载:
  2. 频率计源代码,性能很好,verilog写的,顶层原理图,底层语言写的,效果很好,开发环境为quartus-Cymometer source code, good performance, verilog written by the top diagram, the bottom language was written. good effect, and development environment for quartus
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:294270
    • 提供者:苏纳
  1. quartusGuide

    0下载:
  2. 设计输入 ! 多种设计输入方法 – Quartus II • 原理图式图形设计输入 • 文本编辑 – AHDL, VHDL, Verilog • 内存编辑 – Hex, Mif – 第三方工具 • EDIF • HDL • VQM – 或采用一些别的方法去优化和提高输入的灵活性: • 混合设计格式 • 利用LPM和宏功能模块来加速设计输入-design inpu
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:845530
    • 提供者:fgghh
  1. circularbuffer

    0下载:
  2. Circular_Buffer,流水线型多位缓存器,verilog语言描述。通过modelsim 6。0仿真,quartus 综合通过。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1424
    • 提供者:shenyunfei
  1. 89_full_adder

    0下载:
  2. full adder设计代码,verilog 语言描述,通过modelsim 仿真,quartus综合
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4631
    • 提供者:shenyunfei
  1. chap3

    0下载:
  2. 基于quartus 的一些程序 都是verilog 还是比较有用的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5634
    • 提供者:王彦
  1. dct

    1下载:
  2. DCT的Verilog 程序,用QUARTUS进行开发
  3. 所属分类:2D图形编程

    • 发布日期:2008-10-13
    • 文件大小:3124384
    • 提供者:张伟
  1. Freq

    1下载:
  2. 简易数字频率计,用Verilog HDL编写的,基于Quartus II实现,结构清晰,功能较为全面,能满足简单的频率测量要求
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2014-01-16
    • 文件大小:404518
    • 提供者:余翔
  1. altera_ram

    1下载:
  2. 本程序对如何使用altera系列芯片片上ram进行实例演示,采用Verilog HDL语言编写,并使用modelsim与quartus联合进行功能仿真。本原码是红色逻辑开发板的试验程序,值得一看。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:180374
    • 提供者:panyouyu
  1. encode

    0下载:
  2. Quartus下的RS(5,3)编码器的源程序,用Verilog语言编写。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3021
    • 提供者:桃子
  1. zzs4

    1下载:
  2. 用verilog实现的电子日历程序,在Quartus II上编译通过并成功实现
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:662860
    • 提供者:张兵
  1. jishiqi

    0下载:
  2. 用verilog实现的记时器程序,在Quartus II上编译通过并成功运行
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:2221229
    • 提供者:张兵
  1. qiangdaqi

    0下载:
  2. 用verilog实现的抢答器程序,在Quartus II上编译通过并成功运行
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:190317
    • 提供者:张兵
  1. 点亮led的Verilog语言

    0下载:
  2. 基于FPGA的,用quartus,结合modelsim可以进行波形仿真,烧录到实验板上可以看到现象。
  3. 所属分类:其它源码

  1. basketball_24time1

    2下载:
  2. 该文档主要是用verilog语言实现篮球24秒计时器,这是我做的数字电子技术课程的一次大作业。 里面为整个文件夹,解压之后可在Quartus13.0上直接运行。(This document mainly uses Verilog language to realize basketball 24 second timer, which is a big assignment of digital electronic technology course I do. It contains the
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-03-30
    • 文件大小:5646336
    • 提供者:1003512666
  1. 模24计数器

    1下载:
  2. 模24计数器的Quartus II文本输入设计及其test bench(Quartus II text input design and test bench of modulo 24 counter)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-06-15
    • 文件大小:2048
    • 提供者:13570
  1. vga

    1下载:
  2. 直接在quartus 2上运行,然后烧进试验箱,可以播放梁祝,连线就两根,一根连20MHZ,一根连蜂鸣器输入端,另一头连拓展插槽的B01,按键1控制播放、暂停,模式5,可以用点个赞,(Run it directly on quartus 2, and then burn it into the test box. You can play Liang Zhu. There are only two wires, one is 20MHz, one is buzzer input, the oth
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2020-11-12
    • 文件大小:3717120
    • 提供者:dfsadf3
  1. sram_ctr

    1下载:
  2. SRAM VERILOG 实现FPGA控制SRAM的功能。测试可以使用。(SRAM verilog fpga vivado ise quartus.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-09-25
    • 文件大小:1024
    • 提供者:hwz
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 27 »
搜珍网 www.dssz.com