CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog Quartus

搜索资源列表

  1. Seven_vote

    0下载:
  2. 在Quartus环境下verilog编写的一个7位投票器,拥有主持人的控制端口-Quartus environment Verilog prepared a seven vote, with the host control port
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:243239
    • 提供者:voldemortqq
  1. DDS

    0下载:
  2. 基于verilog的DDS设计验证与仿真源代码,在quartus上实现,下载仿真成功-Based on the the the verilog DDS design verification and simulation of the source code, in quartus download simulation success
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:29184
    • 提供者:baixin358
  1. fenpin

    0下载:
  2. 开发工具是quartus II 7.0以上版本,这是一个verilog语言的分频器设计,个人作业设计,供参考学习-verilog,quartus II 7.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:292042
    • 提供者:刘玉海
  1. compare

    0下载:
  2. 数值比较器的设计,课堂作业随堂检查,verilog语言设计,开发工具是quartus II7.0以上版本,测试仿真脚本也有-Numerical comparison of the design, classwork class check the Verilog language design, development tools is quartus II7.0 above test simulation scr ipt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:256273
    • 提供者:刘玉海
  1. sinw

    0下载:
  2. 用verilog写的正弦波发生器,QuartusⅡ环境-Sine wave generator written in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:521443
    • 提供者:杀虫剂
  1. liushuideng

    0下载:
  2. 基于Verilog HDL的流水灯例程,在quartus 11.0上结合DE0运行-Verilog HDL-based flow lamp routine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1405023
    • 提供者:吴爱东
  1. chengfaqi

    0下载:
  2. verilog语言编写的一个乘法器程序,是16位相乘!已通过仿真,用Quartus II 9.1 编写-a multiplier verilog language program, is 16 multiplied by! Through simulation, the Quartus II 9.1 to write
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1775553
    • 提供者:mr liu
  1. vga

    0下载:
  2. verilog语言编写的一个vga程序,是vga显示程序,用Quartus II 9.1 编写-a vga verilog language program is a vga display program, the Quartus II 9.1 to write
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:829382
    • 提供者:mr liu
  1. lcd

    0下载:
  2. verilog语言编写的一个lcd控制程序,是lcd显示程序,用Quartus II 9.1 编写-verilog language lcd control procedures, lcd display program written using the Quartus II 9.1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1114611
    • 提供者:mr liu
  1. 022-FIFO_PRO

    0下载:
  2. verilog写的控制quartus自带fifo ip核的程序-verilog to write the control quartus own fifo ip nuclear program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:993403
    • 提供者:Lawrence
  1. pingpangqiu

    0下载:
  2. 本文使用 FPGA 芯片来模拟实际的乒乓球游戏。本设计是基于 Altera 公司的 FPGA Cyclone II 芯片 EP2C5T144C8 的基础上实现,运用 Verilog HDL 语言编程,在 Quartus II 软件上进行编译、仿真,最终在开发板上成功实现下载和调试。 -This article uses the FPGA chip to simulate the actual game of table tennis. The design is based Altera
  3. 所属分类:Other Riddle games

    • 发布日期:2017-03-29
    • 文件大小:48890
    • 提供者:jingdizhimo
  1. RS-232CUART

    0下载:
  2. 主要是利用FPGA进行串口的通信 其中利用到FPGA的开发软件QUARTUS -verilog NIOS UART
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:804317
    • 提供者:李斌
  1. si_xi_fen

    0下载:
  2. Quartus环境下,用verilog HDL写的光电码盘的四细分程序,用于获得转向和转速-Quartus environment, use verilog HDL write light code disc four segmentation procedure, are used to obtain steering and speed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:355139
    • 提供者:
  1. DE2_Default

    0下载:
  2. DE2开发板 verilog语言描述 Quartus II环境-DE2 development board verilog language to describe
  3. 所属分类:Other systems

    • 发布日期:2017-11-23
    • 文件大小:4019
    • 提供者:ynchu
  1. led

    0下载:
  2. quartus 工程 测试硬件LED Verilog 源码-the quartus engineering test the hardware LED Verilog source
  3. 所属分类:Other systems

    • 发布日期:2017-11-09
    • 文件大小:2394452
    • 提供者:sam
  1. im_rotation

    1下载:
  2. 利用verilog实现实时图像旋转。本程序是基于Altera公司的Quartus实现的。-Verilog to achieve real-time image rotation. This procedure is based on Altera' s Quartus.
  3. 所属分类:Special Effects

    • 发布日期:2017-11-12
    • 文件大小:630544
    • 提供者:xufang
  1. VGA_Exp71_1024x768

    0下载:
  2. 使用quartus编写verilog语言以网球游戏的实现-To use quartus write verilog language to the realization of a tennis game
  3. 所属分类:File Formats

    • 发布日期:2017-11-09
    • 文件大小:702
    • 提供者:夏溪梦
  1. LEDshanshuodeng

    0下载:
  2. 让实验板上的8 个LED 周期性的闪烁。通过这个实验,熟悉并掌握采用计数 与判断的方式来实现分频的Verilog HDL 的编程方法以及Quartus II 软件的使 用方法和开发流程。-The experimental board 8 LED periodically flashes. Through this experiment, the familiar and the master counts and judgment to achieve the Verilog HDL p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:453400
    • 提供者:王恒
  1. dianti

    0下载:
  2. 电梯程序 用verilog实现 经过quartus验证-Elevator after quartus verification procedures with verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:342027
    • 提供者:nilsolov
  1. DDS

    0下载:
  2. 直接数字频率合成器dds,用verilog实现,经过quartus验证-Direct digital frequency synthesizer the dds, used verilog achieved after quartus verify
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:911620
    • 提供者:nilsolov
« 1 2 ... 13 14 15 16 17 1819 20 21 22 23 ... 27 »
搜珍网 www.dssz.com