CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog Quartus

搜索资源列表

  1. anjianjuzhen

    0下载:
  2. 在Quartus II 环境下利用Verilog语言编写的按键矩阵和位移同化程序,包含模块化器件和仿真波形-In the Quartus II environment, use Verilog language assimilation key matrix and displacement procedures, including modular devices and simulation waveforms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:238560
    • 提供者:daijunyu
  1. uart

    0下载:
  2. 本例程是用verilog硬件描述语言在quaryusII环境下开发的串口通信模块,分为发送模块,接受模块和波特率产生模块。-This routine is verilog hardware descr iption language development environment under quartus II serial communication module, divided into send module, receive module and baud rate generato
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:276749
    • 提供者:PrudentMe
  1. eluosi_game

    0下载:
  2. 使用Quartus II 9.1完成俄罗斯方块游戏,只要使用有VGA和键盘接口的FPGA开发板就行实现。操作简单,使用的是VHDL和Verilog语言-Use the Quartus II 9.1 to complete the tetris game, as long as you use a VGA and keyboard interface implementation of FPGA development board. The operation is simple, the use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2176658
    • 提供者:韩闯
  1. fpga-fir

    0下载:
  2. 使用Quartus II 9.1完成低通FIR滤波器的实现,在任意开发板上都能实现。操作简单,使用的是VHDL和Verilog语言-Use the Quartus II 9.1 the realization of the complete low pass FIR filter, can be implemented in any development board. The operation is simple, the use of VHDL and the Verilog langua
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1405365
    • 提供者:韩闯
  1. digital--clock

    0下载:
  2. 在Quartus II 平台下用verilog语言写的多功能数字钟-In the Quartus II platform with verilog language written multifunction digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2990
    • 提供者:liran
  1. BreathingLight

    0下载:
  2. 这是在Quartus平台上用verilog语言编写的程序,其功能是实现一个呼吸灯-This is the platform used in the Quartus verilog language program, its function is to achieve a breathing light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10830209
    • 提供者:张漫漫
  1. UART

    0下载:
  2. 用Verilog实现uart串口通信,并在Quartus和modelsim上完成测试和仿真,内含源代码和测试程序。-Using Verilog realize uart serial communication, and complete testing and simulation in Quartus and modelsim, including source code and test procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2935
    • 提供者:Lillian
  1. SRAM

    0下载:
  2. 用Verilog实现8051sdam,并在Quartus和modelsim上完成测试和仿真,内含源代码和测试程序。-Using Verilog realize 8051sdam, and complete testing and simulation, including source code and test procedures in Quartus and modelsim.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1176393
    • 提供者:Lillian
  1. VGA

    0下载:
  2. vga显示代码 Verilog语言 开发环境为quartus II,alteraFPGA-VGA display Verilog
  3. 所属分类:MPI

    • 发布日期:2017-04-12
    • 文件大小:1426
    • 提供者:hero
  1. lcd1602

    0下载:
  2. FPGA控制lcd1602液晶显示,使用Verilog语言在quartus II环境下开发-FPGA lcd1602 verilog
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-13
    • 文件大小:1785
    • 提供者:hero
  1. source_tx

    0下载:
  2. FPGA控制uart 串口发送,使用Verilog语言在quartus II环境下开发-uart tx FPGA verilog
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-13
    • 文件大小:2370
    • 提供者:hero
  1. source_ps2

    0下载:
  2. FPGA控制PS2协议,使用Verilog语言在quartus II环境下开发-FPGA PS2 Verilog
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-15
    • 文件大小:7275
    • 提供者:hero
  1. source_ds1302

    0下载:
  2. FPGA控制ds1302,使用Verilog语言在quartus II环境下开发-FPGA verilog ds1302
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-14
    • 文件大小:3747
    • 提供者:hero
  1. rec

    0下载:
  2. 8点8位的FFT,verilog语言,经过Quartus仿真验证-8 piont 8 bits of FFT, verilog language, through the Quartus simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4322
    • 提供者:liufeng
  1. lcd1602

    0下载:
  2. 在Quartus II 中用Verilog语言编写的1602英文显示程序-n the Quartus II Verilog language with English display program 1602
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3212723
    • 提供者:月夜无痕
  1. crack Quartus11.0

    0下载:
  2. 用于quartus的破解文件,能有效解决verilog语言编程软件所遇到的问题。
  3. 所属分类:开发工具

  1. 256qam

    1下载:
  2. Quartus II开发套件,256qam的Verilog仿真,有编码和解码过程-Quartus II,256qam,Verilog,Modem,Demodem
  3. 所属分类:Communication

    • 发布日期:2017-04-14
    • 文件大小:2677
    • 提供者:宾芬
  1. MAX197-5STATE

    0下载:
  2. 使用Verilog在Quartus II下编写的MAX197 AD采集程序,系统时钟50MHz。经测试完全可使用。-Use Verilog in Quartus II prepared MAX197 AD collection procedures, the system clock 50MHz. Tested fully use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10849658
    • 提供者:carlosdon
  1. pinlvji2

    0下载:
  2. verilog语言,quartus下实现频率计,内附原理图以及详细说明。 一共6个.v模块,其中一个是top,其余都是子模块。 测量频率的原理很简单,对一定时间内待测信号的上升沿的个数进行记录即可。 单位khz,四位数码管,小数点可以处于其中任何一位,假设数码管由高到低定义成HEX3,HEX2,HEX1,HEX0,那么当hex0的小数点点亮时,表示xxxx khz,hex1的点亮时,xxx.x khz,依次类推。 为保证精度,当时xxxx khz时,最小分辨率应该是1khz,所以
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8601522
    • 提供者:maxiaobo
  1. adder_carry_chain

    5下载:
  2. 使用verilog语言实现进位链加法器,quartus下编译,并使用modelsim进行了验证,内含carry_chain.v代码文件以及testbench文件-use verilog language,carry_chain adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3008285
    • 提供者:maxiaobo
« 1 2 ... 20 21 22 23 24 2526 27 »
搜珍网 www.dssz.com