CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog Quartus

搜索资源列表

  1. xuexidds

    0下载:
  2. 利用quartus平台使用verilog语言实现直接数字频率合成-Use quartus platform verilog language Direct Digital Synthesis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:38787
    • 提供者:刘维
  1. ARINC_429

    1下载:
  2. FPGA实现ARINC429协议,利用verilog HDL做了完整的ARINC429通信收发协议,EDA开发平台为quartus ii9.1。-FPGA implementation ARINC429 protocol using verilog HDL to do a complete ARINC429 communication transceiver protocol, EDA development platform quartus ii9.1.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:3590
    • 提供者:何俊
  1. zsy_422_20160911_backup

    0下载:
  2. RS422协议芯片OX16C950底层驱动程序,Verilog语言编写,Quartus ii 15.0开发,可实现数据收发,用串口调试助手可以观察。-RS422 protocol chip OX16C950 low-level driver, Verilog language, Quartus ii 15.0 development, can achieve data transceiver, with the serial debugging assistant can be observed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3485697
    • 提供者:
  1. lan91c111

    0下载:
  2. MAC芯片LAN91C111驱动源码,quartus开发环境,Verilog HDL开发语言。自己编写调试通过。对FPGA控制MAC开发者非常有用。-MAC chip LAN91C111 driver source code, quartus development environment, Verilog HDL development language. Write debugging through their own. Very useful for FPGA control MAC d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:37160
    • 提供者:gsw
  1. pic10

    0下载:
  2. 本文件夹里面的是实现pic10 CPU的全部verilog代码以及相应的测试脚本代码,当然有一些模块是在quartus中直接编辑波形测试的,所以没有响应的测试脚本文件。 tri_state_port的测试还未完成,test_pic10_status_reg.vt和test_pic10_tri_state_port2.vt都没有完成测试任务 其中有三篇文档: PIC10_RISC_Design.pdf:原文(verilog代码基本都来自原文,对一部分进行了改进),这篇文章写
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3458159
    • 提供者:Eddie
  1. 20161122_ff

    0下载:
  2. MD5认证部分的第一轮中包含F函数的一次操作的FPGA实现源代码,采用Verilog,在Quartus II上综合-MD5 authentication part of the first round contains an F function of the operation of the FPGA implementation of the source code, using Verilog, integrated in the Quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:326912
    • 提供者:柳广兴
  1. 20161122_gg

    0下载:
  2. MD5认证部分的第二轮中包含G函数的一次操作的FPGA实现源代码,采用Verilog,在Quartus II上综合-FPGA contains one operation in the second round of the G function MD5 authentication component implementation source code, using Verilog, synthesis in Quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:321339
    • 提供者:柳广兴
  1. 20161203_hh

    0下载:
  2. MD5认证部分的第三轮中包含H函数的一次操作的FPGA实现源代码,采用Verilog,在Quartus II上综合-FPGA third round included H functions in one operation MD5 authentication component implementation source code, using Verilog, synthesis in Quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:295834
    • 提供者:柳广兴
  1. 20161203_ii

    0下载:
  2. MD5认证部分的第四轮中包含I函数的一次操作的FPGA实现源代码,采用Verilog,在Quartus II上综合-The fourth round MD5 authentication section contains FPGA one operation I Functions of the source code, using Verilog, synthesis in Quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:307972
    • 提供者:柳广兴
  1. freq_100M

    0下载:
  2. 在FPGA平台上,verilog,频率测量,已调试,可在quartus上打开。-On the FPGA platform, verilog, frequency measurement, debugged, can be opened on quartus.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:15659677
    • 提供者:秦枫
  1. m-Sequence

    0下载:
  2. FPGA,verilog,输出M序列,已调试成功,可直接在Quartus上打开。-FPGA, verilog, output M sequence, has been successfully debugged, can be opened directly on the Quartus.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5118462
    • 提供者:秦枫
  1. zhixinkeji

    1下载:
  2. 北京至芯科技FPGA的学习资料,从备战Quartus II安装到IIC通信协议,每一章都有Verilog代码并且可以实现仿真程序,非常好用,讲的很详细-Beijing Science and Technology FPGA to the core learning materials, preparing to install Quartus II IIC communication protocol, each chapter Verilog code and can achieve sim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-15
    • 文件大小:23172954
    • 提供者:李浩轩
  1. tinycpufiles

    0下载:
  2. TinyCPU源码,使用Verilog编写的资源占用极少的CPU。Quartus工程,可跑在Altera MAXII CPLD上,也很方便移植到其他FPGA上。CPU使用200个逻辑单元,外设(SPI,LCD等)使用180个逻辑单元。 内含汇编编译器源码(VC2008),可编译CPU对应的汇编文件。-The sourcecode of TinyCPU, which only consumed very few logical cells, written by Verilog. It is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-11
    • 文件大小:60612
    • 提供者:肖海云
  1. EDA

    0下载:
  2. 熟悉QuartusⅡ的Verilog HDL文本设计流程全过程,学习计数器的设计、仿真和硬件测试。-Familiar with Quartus II Verilog HDL text design process, learning counter design, simulation and hardware testing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:32303104
    • 提供者:小麻
  1. UART

    0下载:
  2. 用Verilog实现的全局异步接收发送机,在quartus平台测试成功。(Use Verilog implementation of global asynchronous receive transmitter in quartus platform test successfully)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:283648
    • 提供者:莫五张
  1. 4x4 Keypad

    0下载:
  2. 用Verilog实现的4*4键盘扫码程序,在quartus平台实现。(Use Verilog implementation of 4 * 4 keyboard scan code program, realized in quartus platform.)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-21
    • 文件大小:243712
    • 提供者:莫五张
  1. LCD1602

    0下载:
  2. 用Verilog实现的液晶显示屏程序,在quartus平台上测试成功。(Use Verilog implementation of LCD display program, on quartus platform test successfully.)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-16
    • 文件大小:96256
    • 提供者:莫五张
  1. PWM

    0下载:
  2. 用Verilog实现的脉冲宽度调制程序,在quartus平台上测试成功。(Using Verilog implementation of pulse width modulation, in quartus platform test successfully.)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-27
    • 文件大小:151552
    • 提供者:莫五张
  1. CCD_drive

    1下载:
  2. TCD1304 CCD 驱动 AD转 USB2.0传输(This code based on verilog language, worked on EP1C3T144 FPGA chip, developed on Quartus II 12.0 . The ccd's data transformed by USB2.0 after amplified and AD confromed.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:520192
    • 提供者:Vwin
  1. chuankou

    0下载:
  2. 此文件是一个串口verilog程序,一次传输一个字节,使用quartus编写(This is a program that is written in Verilog language ,It is a Serial program ,You can transfer and return a byte data.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-28
    • 文件大小:7168
    • 提供者:wangshuo9242
« 1 2 ... 21 22 23 24 25 2627 »
搜珍网 www.dssz.com