CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog Quartus

搜索资源列表

  1. jiaotongdeng_Verilog

    0下载:
  2. 十字路口交通灯控制器,是课程的结课设计报告,自己写的verilog语言,在quartus ii环境下仿真,具有参考意义。 -traffic signal controllers and It is a subject design report, written in verilog, quartus ii environment, and can be used with reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:300048
    • 提供者:邓涛
  1. AD7656_Tri

    0下载:
  2. 触发AD7656进行双路采样的触发控制模块 内附QUARTUS生成的bsf文件-AD7656 Dual Trigger to trigger the control module sample included QUARTUS generated bsf file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:676281
    • 提供者:阿飞
  1. Move071221133_32

    0下载:
  2. 用Verilog HDL语言或VHDL语言来编写,实现32位的桶形移位器。 并在Quartus Ⅱ上实现模拟仿真;-With the Verilog HDL language or VHDL language to write to achieve 32-bit barrel shifter. To achieve in the Quartus Ⅱ simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:818259
    • 提供者:于伟
  1. Automachine_project

    0下载:
  2. verilog 语言写的自动售货机程序,系IC课程设计代码,QUARTUS -verilog language written in a vending machine program, the Department of IC curriculum design code, QUARTUS II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:418912
    • 提供者:Zeng jinqiang
  1. Cymometer

    2下载:
  2. Verilog 编写的频率计,使用8位LED作为显示,Quartus II 6.0的工程文件。保证好用,EPM240T的芯片。使用了66 的资源。-Written in Verilog frequency counter, using 8-bit LED as the display, Quartus II 6.0 of the project file. To ensure easy to use, EPM240T chips. 66 of the resources used.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:585557
    • 提供者:石头
  1. DHT22_v1.1

    3下载:
  2. 我以前曾发过V1.0版的,这是此版的修正版v1.1,修正了以前版本中的一个错误,即只能读一个数据后就再也读不出温度数据的错误。 这个是用Quartus II软件写的Verilog HDL语言写的与温湿度传感器DHT2x通信的代码. 里面有详细的注解. 主要用于DHT2x单线总线通信转换为8位并行总线通信,应用于具有外部8位总线访问功能的单片机直接读取温湿度数据. 此程序在EPM7128SLC-10中成功测试. -I' ve once spoke V1.0 version, whic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:1094781
    • 提供者:yuantielei
  1. vending

    0下载:
  2. vending machine for Quartus 8.1 version. verilog , vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1027
    • 提供者:ww
  1. Work_with_Modelsim_SE_and_Quartus_II

    0下载:
  2. 仔细讲解了如何在Modelsim中建立Altera的仿真库(Verilog HDL),如何使用Modelsim建立工程以及代码调试中的注意事项。-Carefully explained how to create Altera simulation Modelsim library, how to use Modelsim to establish engineering and debugging the code in the note.
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:197679
    • 提供者:nostalgia
  1. Quartus2(FPGACPLD)

    0下载:
  2. 在Quartus2上的FPGACPLD设计,PDF文档-The FPGACPLD design in Quartus2 , PDF documents
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:14818201
    • 提供者:秋潮
  1. dma_hussam

    0下载:
  2. verilog code for dma
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:958396
    • 提供者:hussamkh
  1. VerilogProjects

    1下载:
  2. 在quartus II环境下用Verilog实现了8255, 8253, 8259, 8250, DAC0832, ADC0809等微机接口芯片,硬件设计实验课的作品,有些芯片的功能有所简化,但最基本的功能已实现,有完整的时序仿真波形-In quartus II environment achieved with Verilog 8255, 8253, 8259, 8250, DAC0832, ADC0809 and other computer interface chip, hardware
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-03
    • 文件大小:5405440
    • 提供者:一招鲜
  1. DDS_Set

    0下载:
  2. AD9852,DDS芯片接收数据逻辑。(Verilog语言)-AD9852, DDS chips receive data logic. (Verilog language)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1440
    • 提供者:zhangwei
  1. stopwatch

    0下载:
  2. verilog 秒表程序 用quartus 编写-Verilog stopwatch ............................................................................................
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:430714
    • 提供者:icer
  1. VtoRGB

    1下载:
  2. Verilog写得BT656视频数据转为RGB数据的Quartus工程文件!-The verilog module for changing BT656 data to RGB data!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-07
    • 文件大小:149504
    • 提供者:GC
  1. dds

    1下载:
  2. 在quartus下的DDS设计,Verilog语言,可以产生正弦波、三角波、方波等,频率可调。-Under the DDS in quartus design, Verilog language, you can produce sine wave, triangle wave, square wave, frequency adjustable.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-29
    • 文件大小:2632704
    • 提供者:米多
  1. QuartusIITimequest

    0下载:
  2. 关于quartus中的Timequest Timing analyzer的讲解PPT,由Altera提供-About quartus in Timequest Timing analyzer' s explanation PPT, provided by the Altera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1096104
    • 提供者:张飞
  1. lcd12864

    0下载:
  2. 用Verilog写得FPGA实现lcd12864的控制程序,在Quartus环境下调试通过-Written using Verilog FPGA implementation lcd12864 control program, the debugging environment by Quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1561
    • 提供者:烙铁头
  1. DDS__FPGA

    1下载:
  2. 基于FPGA的DDS信号发生器设计,包含Quartus 的工程,打开即可使用,Verilog 语言编写!-The DDS signal generator based on FPGA design, including the Quartus project, open to use, Verilog language! 朗读 显示对应的拉丁字符的拼音 字典- 查看字典详细内容
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:92592
    • 提供者:小何
  1. LCD1602

    0下载:
  2. 写的一个用lcd1602的随机数发生器,用的语言为Verilog,工具是Quartus II软件。-Write a random number generator with lcd1602, the language used for the Verilog, Quartus II software tool.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2398105
    • 提供者:无名
  1. VGA

    0下载:
  2. 用verilog在quartus环境下开发VGA彩色信号显示-verilog,quartus,vga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:397613
    • 提供者:haifeng
« 1 2 3 4 56 7 8 9 10 ... 27 »
搜珍网 www.dssz.com