CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - X文件

搜索资源列表

  1. RepText

    1下载:
  2. Teigha之前3.x版本无法读取14版本的cad图纸文件(Teigha 4.x demo to read/write "dwg" version>2007)
  3. 所属分类:CAD

    • 发布日期:2020-05-17
    • 文件大小:6892544
    • 提供者:大龙121
  1. cancer_cells_move

    1下载:
  2. 实现动态数组构建,初值快速赋值,vtk文件输出,可以在paraview 4.x 版本上观看模拟结果,模型参考文献: Palmieri B, Bresler Y, Wirtz D, Grant M (2015) Multiple scale model for cell migration in monolayers: elastic mismatch between cells enhances motility.(a example for phase-field in C++,for le
  3. 所属分类:仿真建模

    • 发布日期:2019-12-31
    • 文件大小:8192
    • 提供者:黄大可
  1. eyeLike-master

    1下载:
  2. 人眼中心定位是一个用于眼部追踪的算法,C++语言实现,依赖OpenCV库。 关于代码的编译,提供了CMakeLists.txt文件,同时支持Windows,Linux和Mac OS X。 该项目从网络摄像头读取视频,进行人脸检测,再根据检测到的人脸图像截取左眼和右眼的ROI区域,最后根据截取到的ROI进行眼球中心检测与跟踪。所以算法的主要包含三个部分:人脸检测,ROI截取,眼球中心定位。(The estimation of the eye centres is used in sever
  3. 所属分类:其他

    • 发布日期:2019-05-03
    • 文件大小:229376
    • 提供者:zxzxzy12345
  1. 校园二手交易系统

    0下载:
  2. 物品增删改查可以实现,连接数据库 1.MyEclipse 8.x Stable (8.0 GA) 下导入系统文件 在tomcat下启动 2.MySQL-Front 下导入数据库.sql文件(Addition, deletion and alteration of items can be achieved by connecting to the database. 1. Import system files under MyEclipse 8.x Stable
  3. 所属分类:Java编程

    • 发布日期:2021-04-20
    • 文件大小:9705472
    • 提供者:cym123
  1. PHP淘宝客优惠券返利系统

    2下载:
  2. 推券客CMS淘宝优惠券网站源码是一个以PHP+MySQL进行开发的PHP淘宝客优惠券网站。支持电脑站、手机站以及微信公众号查券。支持多级代理返利和阿里妈妈最新的渠道管理等功能。 推券客CMS淘宝优惠券网站源码的安装 环境要求:PHP5.3 + MySQL5.x或以上版本 配置要求:最低要求空间100M +数据库100M 安装方法: 1、下载程序包解压,上传www文件夹内的内容到你的网站空间 2、 如果设linux 空间,需要给网站目录下data文件夹设
  3. 所属分类:PHP源码

  1. 组态王补丁

    16下载:
  2. 组态王75破解补丁,把几个文件拷贝到安装组态王的目录下,即可实现破解,启动组态王选6.x授权即可。
  3. 所属分类:其它资源

  1. 社区出入登记小程序v1.0.17版本

    0下载:
  2. //1.直接上传后端文件至addons目录, //后进入微擎后台安装 //2.若小程序,请使用微信开发者工具进行 省力:无需重复输入信息,且准确完整。查询便捷:管理人员可以多维度查询登记信息。负责登记人员从“我要使用”注册,提交至社区审核小区,设置里下载二维码,张贴于出入口。 登记:出入人员打开微x扫码二维码,填写信息提交。 核验:小区管理者可核验信息,并标记为处理。 保存信息:出入人员填写的信息自动保存,下次进入任务小区无需重复输入。 查询:小区管理者可随时通过手
  3. 所属分类:PHP源码

  1. lunar库

    0下载:
  2. 太阳系星历表、时间系统、坐标系统等的基本天文函数。这包括一些基于这些功能的实用工具,如日历计算机和小行星轨道数值积分实用工具。可以为Windows、Linux或BSD构建代码,也可以为OS/X构建代码。一些文档(需要更新)在 http://www.projectpluto.com/source.htm#astrocalc 在Linux上,运行make来构建库和各种测试可执行文件。(你也可以用Windows下的MinGW来做到这一点。)在Linux中,您可以运行make install来将库放
  3. 所属分类:其他小程序

  1. 二极管函数变换电路

    0下载:
  2. 此文件是串联二极管函数变换设计,有6v-4v,4v-2v,2v-(-2v),(-2v)-(-4v),(-4v)-(-6v)5个区间,显示y=-x^3函数图像
  3. 所属分类:程序开发库

  1. Fourier-Transform

    1下载:
  2. 傅立叶变换(FT)将时间函数(信号)分解为构成它的频率,其方式类似于如何将音乐和弦表示为其组成音符的频率(或音调)。在这个排序库中,我将实现一个通用的傅立叶变换算法,对于>0个的常数a,b,...它能够分解函数f(x)=sin(2apix)+sin(2bpix)...。 作者 Fotios Kapotos-初始工作 这个项目是根据麻省理工学院的许可证授权的-参见LICENSE.md许可证文件以获取详细信息(The Fourier transform (FT) decomposes a f
  3. 所属分类:人工智能/神经网络/深度学习

    • 发布日期:2021-04-24
    • 文件大小:266240
    • 提供者:王玥666
  1. 华中数控系统PLC软件

    0下载:
  2. 华中数控梯形图开发环境 使用说明书 一、概述 1.1 寄存器简介 1.1.1 PLC和CNC之间的地址---F寄存器和G寄存器 F寄存器---CNC(数控系统)输出到PLC的开关信号 地址为F0到F255 G寄存器---PLC输出到CNC(数控系统)的开关信号 地址为G0到G255 1.1.2 PLC和机床之间的地址---X寄存器和Y寄存器 X寄存器---机床输出到PLC的开关信号 地址为X000到X127 Y寄存器---PLC输出到机床的开关信号 地址为Y000到Y127 1.1.3中间地址-
  3. 所属分类:调试

    • 发布日期:2020-07-28
    • 文件大小:2555866
    • 提供者:wsphnr
  1. Winhex

    0下载:
  2. WinHex的核心是通用的十六進制編輯器,在計算機取證,數據恢復,低級數據處理和IT安全領域特別有用。日常和緊急使用的高級工具:檢查和編輯各種文件,從文件系統損壞的硬盤驅動器或數碼相機卡中恢復已刪除的文件或丟失的數據。功能取決於許可證類型(許可證類型比較),其中: •磁盤編輯器,用於硬盤,軟盤,CD-ROM和DVD,ZIP,智能媒體,緊湊型閃存,... •本地支持FAT12 / 16/32,exFAT,NTFS,Ext2 / 3/4,Next3®,CDFS,UDF •RAID系統和動態磁盤
  3. 所属分类:其它程序

  1. Max引擎登陆器配置器[100101版]

    2下载:
  2. 注:配置器支持配置英雄,归来,外传,英雄强化,归来强化版本,请自行选择. 传奇登录器配置器生成登陆器时默认读取的皮肤文件为:模板x皮肤.jpg 或 模板x皮肤.bmp x为模板号,你也可以在配置器里手动指定每个模板的皮肤. 通用自定义补丁.wil是 热血 1.76 外传登陆器的Max_Prguse补丁, 归来自定义补本.wil是 传奇归来登陆器的Max_Prguse补丁(Note: the configurator supports the configuration of hero, retu
  3. 所属分类:游戏引擎

    • 发布日期:2021-01-18
    • 文件大小:14964736
    • 提供者:传奇外传
  1. SQLiteStudio

    1下载:
  2. SQLiteStudio 是一个跨平台的 SQLite 数据库的管理工具,采用 Tcl 语言开发。 主要特性: 便携性 - 无需安装和卸载,下载解压即可使用 界面直观 功能强大,同时保持轻量级而且快速 通过简单的 GUI 封装了所有 SQLite3 及 SQLite2 的功能 支持跨平台 包括 Windows 9x/2k/XP/2003/Vista/7, Linux, MacOS X 支持导出各种格式数据 (SQL statements, CSV, HT
  3. 所属分类:编译

  1. va助手

    0下载:
  2. va助手Visual Assist X v10.0.1233.0 破解版(Cracked) Visual.Assist.X是一款非常好的Visual Studio .NET 2003、2002插件,支持C/C++、C#、ASP、Visual Basic、Java和HTML等语言,也支持VC++6、VC++5,能自动识别各种关键字、系统函数、成员变量、自动给出输入提示、自动更正大小写错误、自动标示错误等,有助于提高开发过程地自动化和开发效率。 使用说明: 1.首先请确认你已经卸载了
  3. 所属分类:编译

    • 发布日期:2021-03-04
    • 文件大小:10845223
    • 提供者:448438599@qq.com
  1. PIC CAN 程序

    0下载:
  2. 文件说明:RB7按键输入 RC6 RC7 LED闪烁*/ /*硬件配置: MCU:dsPIC33FI128MC804开发板, OSC: 使用片外7.3728MHz PLL=80MHz振荡器.40MIPS*/ /*软件配置:开发环境MPLAB X IDE v2.35 编译器XC16v1.21 */ /*版本: v1.0*/ /*作者:杨秀峰 淘宝ID:paifeng253625 QQ:378293151 */ /*店铺:htt
  3. 所属分类:其它程序

  1. ST电机全开源库5.4.3_ful版本

    0下载:
  2. ST电机库5.4.3_ful版本,代码开源,X-CUBE-MCSDK-FUL_5.4.3 版本5.4.3修正了基于STSPIN的6步示例,这些示例由于HAL驱动程序中API的更改而无法构建。 修正了Workbench的一个问题,它阻止用户保存复杂的固件示例。复杂固件示例是包含在多个*.stmcx文件中的马达控制配置示例。这些通常是为Workbench生成的项目添加额外代码的示例。 修正STM32G431CB器件不正确的HSE时钟设置。在Workbench中选择8 MHz作为振荡器频率实际上
  3. 所属分类:其它

  1. 地方政府门户网站管理系统cms

    0下载:
  2. 县、市、区政府门户方案功能介绍 A:政务公开:每个部门均有自己的信息公开,即可按部门筛选,又可按主题栏目筛选;提供重点信息公开、依申请公开等模块。 B:办事指南:办事指南的提供办事流程图、表格下载、办事入口等会快捷字段;同时办事指南即可按类别分类,又可按办事部门分类,非常方便。 C:网络问政:网络问政里面包括了领导信箱模块、在线访谈、问答等模块,每个部门均可管理自己的信件,每个信件可以流转,处理后可以短信通知对方。 D:旅游频道:可以将本县漂亮的风景、酒店、旅游路线用图像形式得到很好的体
  3. 所属分类:WEB源码

    • 发布日期:2024-08-21
    • 文件大小:4664048
    • 提供者:qin****
« 1 2 ... 40 41 42 43 44 45»
搜珍网 www.dssz.com