CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - basketball

搜索资源列表

  1. 篮球计分牌

    0下载:
  2. 基于单片机的篮球记分牌电路原理图与源码,十分给力(Circuit diagram and source code of basketball scorecard based on single chip microcomputer)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-06
    • 文件大小:103424
    • 提供者:阿贝钿的CCC
  1. 24s--2

    0下载:
  2. 51单片机 24秒定时器 篮球定时器 动态数码管显示(89c51 24 second timer The dynamic digital tube display of the basketball timer)
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2018-05-06
    • 文件大小:66560
    • 提供者:代人
  1. 071162程序

    1下载:
  2. 设计一个用于篮球比赛的定时器。要求: (1)定时时间为24秒,按递减方式计时,每隔1秒,定时器减1; (2)定时器的时间用两位数码管显示; (3)设置两个外部控制开关,开关K1控制定时器的直接复位/启动计时,开关K2控制定时器的暂停/连续计时;当定时器递减计时到零(即定时时间到)时,定时器保持零不变,同时发出报警信号,报警信号用一个发光二极管指示。 (4)输入时钟脉冲的频率为50MHz。 (5)用Verilog HDL语言设计,用Modelsim软件做功能仿真,用Quartus II综
  3. 所属分类:其他

    • 发布日期:2020-03-30
    • 文件大小:1972224
    • 提供者:严老板
  1. basketball_24time1

    2下载:
  2. 该文档主要是用verilog语言实现篮球24秒计时器,这是我做的数字电子技术课程的一次大作业。 里面为整个文件夹,解压之后可在Quartus13.0上直接运行。(This document mainly uses Verilog language to realize basketball 24 second timer, which is a big assignment of digital electronic technology course I do. It contains the
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-03-30
    • 文件大小:5646336
    • 提供者:1003512666
« 1 2 ... 11 12 13 14 15 16»
搜珍网 www.dssz.com