CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - can verilog

搜索资源列表

  1. Verilog-dalianglic

    0下载:
  2. verilog大量例程,大家可以下载-verilog large number of routines, you can download to see
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:122307
    • 提供者:redp
  1. 61EDA_C1910

    0下载:
  2. ARM9架构简单CORE实现,可以综合,有实现步骤和说明,Verilog代码编写-ARM9 CORE achieve simple structure, can be integrated, with implementation steps and instructions, Verilog coding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:948144
    • 提供者:liumeng
  1. time-counter

    0下载:
  2. 基于verilog的计时器源代码,可以通过编译-Verilog source code based on the timer, you can compile
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2555893
    • 提供者:张迪
  1. verilog

    0下载:
  2. 带同步清0、同步置1的D触发器,可以实现D触发器-0 with synchronous clear, synchronous set 1 D flip-flop, D flip-flop can be achieved
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:112170
    • 提供者:fy7554
  1. X-HDL

    1下载:
  2. 一款可以在verilog和VHDL之间互换的工具,经测试,暂无bug-A verilog and VHDL can be exchanged between the tools, tested, no bug
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-04-29
    • 文件大小:3962880
    • 提供者:邵文熙
  1. verilog-Streamline-tutorial

    0下载:
  2. Verilog HDL 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构 组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模 语言。此外, Verilog HDL语言提供了编程语言接口,通过该接口可以在模拟、验证期间从设 计外部访问设计,包括模拟的具体控制和运行。-Has the following descr iption of Verilog HDL language ability: the behavior of the des
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3078015
    • 提供者:zuo
  1. 32bitcpu

    0下载:
  2. 用verilog写的32位CPU源码,通过汇编语言可以实现加减乘除左移右移等运算。并且通过Lookahead算法提高了运算效率,大大节省了运算时间。通过ASC流程可以模拟出其内部电路结构。代码,过程文件,readme在文件夹中-Written by 32-bit CPU verilog source code, assembly language can be achieved through the addition, subtraction and other operations righ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13527695
    • 提供者:杨岩
  1. 86verilog

    0下载:
  2. 以FPGA 芯片为平台构建了数字信号滤波实时处理模块, 给出了 50Hz 陷波器的切比雪夫Ê 型 IIR 数字 滤波器 4 阶级联的结构, 提出了对滤波器系数量化的逼近方法, 完成了基于 FPGA 的陷波器实现, 并成功地实现了 对含有工频 50Hz 噪声干扰的心电信号的滤波处理, 通过与M at lab 计算所得到的滤波处理效果进行比较分析, 结 果表明: 基于FPGA 采用切比雪夫Ê 型 4 级级联结构的 IIR 数字滤波器的误差满足设计要求- W ith t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:15742
    • 提供者:任伟
  1. synth_fft

    0下载:
  2. fftprocessing can complete 256 pointsFFT.-Hardware Descr iption Language(HDL)is an advanced electronic designmethod.After HDL was put into use,it has draw great attention and gained popularity.The design used Verilog HDL and Schematic for entry tools
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:56161
    • 提供者:zzy
  1. waveform

    0下载:
  2. Verilog HDL数字系统设计项目,频率可调的任意波形发生器,可以输出正弦波、方波、三角波和反三角四种波形-Verilog HDL digital system design projects, adjustable frequency arbitrary waveform generator can output sine wave, square wave, triangle wave and the anti-triangular four waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2274171
    • 提供者:saln
  1. verilog

    0下载:
  2. 波形发生器,产生4种波形,能够自己手动转换,并能调节输出频率-Waveform generator to produce four kinds of waveforms that can convert your manual, and can adjust the output frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2107302
    • 提供者:房龙
  1. waveform_-generator

    0下载:
  2. 简易信号波形发生器,可以产生四种波形,频率1k-20K步进可调。学习Verilog HDL的好例子。-imple signal waveform generator, can produce four waveform, frequency 1 k-20 k step can be adjusted. Learning Verilog good example of HDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1227311
    • 提供者:方芳
  1. OFDM_retiming

    0下载:
  2. 基于Verilog的OFDM时钟恢复模块,在做全数字OFDM的时候是关键模块,可以在FPGA上实现。-Verilog-OFDM-based clock recovery module, doing all-digital OFDM time is the key module can be implemented on the FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:173765
    • 提供者:ye
  1. f_meter

    0下载:
  2. Verilog频率计,可以测出1~9999hz的频率,分模块做成顶层文件-Verilog frequency meter can measure 1 ~ 9999hz frequency, sub-module is made of top-level files
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-08
    • 文件大小:1889925
    • 提供者:苍毛竹
  1. PWM

    0下载:
  2. 调制输出的脉冲宽度,进行电机的控制,能,控制电机的速度,能正负反转!-Pulse width modulated output, the motor control, can control the motor speed, can reverse the positive and negative!
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:179059
    • 提供者:liuyong
  1. USB

    0下载:
  2. USB控制器的VERILOG工程文件,工程为ISE的,可以编译通过,压箱底的东西了-USB controller VERILOG project file, works for the ISE, you can compile, pressure bottom of things
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:157130
    • 提供者:mike
  1. tft_lcd

    0下载:
  2. 用verilog写的TFT液晶驱动程序,本程序经下载到开饭板后,能正常运行-Verilog write with TFT LCD driver, this program downloaded to the have dinner plate, can normal operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-10
    • 文件大小:4426752
    • 提供者:jin
  1. DDS

    1下载:
  2. 能在DDS中用Verilog HDL语言实现FM,AM,FSK,ASK,PSK,结合可编程器件FGPA等等就能实现这些功能 -DDS can be used in Verilog HDL language FM, AM, FSK, ASK, PSK, etc. FGPA programmable devices can be combined to achieve these functions
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-13
    • 文件大小:6281501
    • 提供者:王凡
  1. div_frequency

    0下载:
  2. 任意分频器,用Verilog HDL实现,只需修改参数可以实现奇数、偶数分频,FPGA应用必备资料。-Any divider, using Verilog HDL to achieve, simply modify the parameters can be achieved odd, even frequency, FPGA applications necessary information.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1004
    • 提供者:ye
  1. sdModel

    0下载:
  2. SD Card的verilog模拟模型,可以配合开发SD Controller使用-SD Card the verilog simulation model can be used with the development of SD Controller
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-30
    • 文件大小:5328
    • 提供者:Lee Josh
« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 ... 50 »
搜珍网 www.dssz.com