CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - counter

搜索资源列表

  1. functional-counter-

    0下载:
  2. 这是关于多功能计数器报告,里面详细介绍了关于多能计数器的设计,硬件的实现。-This is a report on the multi-function counter, which detailed more than able to counter on the design, hardware implementation.
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:206304
    • 提供者:yhh
  1. Control-subtraction-counter

    0下载:
  2. 可控加减计数器,是用来在cpld的开发板上控制加减计数器的-Control subtraction counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:34419
    • 提供者:Jingeliang17
  1. counter

    0下载:
  2. 用mega16+12864+键盘实现的简单计算器-simple counter
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:82065
    • 提供者:小剑
  1. timer-counter

    0下载:
  2. 定时计数器的C语言编程,计数器时钟设计\波形发生器设计-Timer counter C language programming
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:143488
    • 提供者:huayu
  1. VHDL-Code---counter

    0下载:
  2. VHDL Code to desighn a counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:38252
    • 提供者:gherwi
  1. VHDL-counter--Test-bench

    0下载:
  2. Test Bench VHDL Code for Counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:61166
    • 提供者:gherwi
  1. counter

    0下载:
  2. 单片机程序 基于51单片机 计数器程序 适合初学者-Based on 51 single-chip microcontroller program counter program for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:13604
    • 提供者:苏潜
  1. frequency-counter

    0下载:
  2. 基于测周法的简单频率计,以STC89C52单片机为核心构成单片机应用系统。系统主要有单片机、显示电路两部分组成。-Measurement method based on a simple frequency counter weeks to form the core of the microcontroller chip STC89C52 applications. There are single-chip system, display circuit composed of two pa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:29833
    • 提供者:nemo
  1. freq-counter

    0下载:
  2. 基于测频法的频率计,以STC89C52单片机为核心构成单片机应用系统。-Frequency measurement method based on the frequency counter to the core composition STC89C52 microcontroller chip applications.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:28706
    • 提供者:nemo
  1. Counter

    0下载:
  2. 一个小的计算机程序,没有用到数据结构的知识,适合新手学习使用。-a counter program,it s suitable for learner.
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-08
    • 文件大小:1908168
    • 提供者:李斯
  1. hit-counter

    0下载:
  2. This is just a hit counter which can calculate total visitor and today s visitors in your site . Thats all. Thanks all raisulcse@gmail.com
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-03-27
    • 文件大小:3212
    • 提供者:Raisul Islam
  1. AT24C02-with-the-counter

    0下载:
  2. STC单片机AT24C02与计数器编程资料-STC microcontroller AT24C02 programming information with the counter
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:17449
    • 提供者:王达
  1. up-down-counter

    0下载:
  2. BASCOM-AVR:递增递减计数器项目: 这是一个向上和向下计数器。这个项目包括两个红外传感器,该传感器连接到外部中断INT0和INT1。你可以使用任何感应器,甚至切换输入。-AVR Increment Decrement Counter Project: This is a up and down counter. this project consist of two infrared sensor that connected to Int0 and Int1 of Ext
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:68363
    • 提供者:lupo
  1. Counter-BM

    0下载:
  2. for counter (retailer)
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-02
    • 文件大小:588742
    • 提供者:unwanted
  1. counter

    0下载:
  2. 一个简单的计数器、十进制.主要是新熟悉QUARTUS ii的基本使用环境。-a counter of simple funtions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:174549
    • 提供者:高飞
  1. UPDATED-F-COUNTER-LCD

    0下载:
  2. PIC Micro Frequency counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:395551
    • 提供者:AVGR
  1. Variable-mode--counter

    0下载:
  2. 这是可变模加减计数器的Verilog源程序,已经编译通过,可以使用-This is the variable mode subtraction counter Verilog source code, has been compiled by, you can use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:208691
    • 提供者:莫然
  1. counter

    0下载:
  2. counter that counts by generating clock.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:686
    • 提供者:hamed
  1. counter

    0下载:
  2. 基于XILINX XC3S300的计数器程序,编程语言VERILOG-XILINX XC3S300 based counter program, programming language VERILOG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2332027
    • 提供者:艺轩
  1. The-8-down-counter-design

    0下载:
  2. 带异步复位和计数使能控制的8位二进制减法计数器设计-With asynchronous reset and the count enable control 8 bit binary subtraction counter design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:692795
    • 提供者:于永远
« 1 2 ... 12 13 14 15 16 1718 19 20 21 22 ... 50 »
搜珍网 www.dssz.com