CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - counter

搜索资源列表

  1. traffic-counter-widget

    0下载:
  2. traffic counter widget
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-22
    • 文件大小:44539
    • 提供者:P.Q.Vuong
  1. wordpress-hit-counter.2.8

    0下载:
  2. wordpress plugin for hit counter
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-17
    • 文件大小:1077561
    • 提供者:P.Q.Vuong
  1. MCU-counter

    0下载:
  2. C51单片机计数器应用,数码管显示,外部中断。-C51 microcontroller counter applications, the digital display, an external interrupt.
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:737
    • 提供者:王洛阳
  1. counter

    0下载:
  2. 基于KEIL 使用于51单片机 计数器功能 用LCD1602输出 模块化设计-51 single-chip counter function LCD1602 output modular design based KEIL
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:2787
    • 提供者:杨云
  1. AT89S51-Counter

    0下载:
  2. AT89S51的计数器程序,按一下按键,计数值增加一。-AT89S51 the counter program, press the button, the count value.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:13962
    • 提供者:周晓鹏
  1. 8051-basedRunning-Counter

    0下载:
  2. 8051 based running counter source code with hex
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:53961
    • 提供者:kaybee
  1. COUNTER

    0下载:
  2. 显示卡测试计数器,AGP 或 PCI 卡测试时用来限制测试卡和插槽中间媒介的测试寿命。-Display card test counter, AGP, or PCI card test used to test life limit of the test card and slot intermediary.
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:913
    • 提供者:limawu
  1. Counter

    0下载:
  2. 计数器,五进制的计数器,在此基础上可以做十进制,六十进制等的计数器.-Counter quinary counter, can be done on the basis of the decimal, six decimal, such as the counter.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-08
    • 文件大小:347072
    • 提供者:dongxia
  1. Timer-or-Counter

    0下载:
  2. 定时器、计数器,主讲定时器计数器的工作原理,程序设计-Timers, counters, speaker timer counter works, programming ............
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:822952
    • 提供者:单恩国
  1. 80C51-interrupt-control-and-counter

    0下载:
  2. 80C51单片机的中断和计数器的控制有些相似,很容易混淆,本例将详细讲解和对比了两者的的不同,与相同的地方,以及控制寄存器的操作方法。-The 80C51 microcontroller interrupt control and counter somewhat similar, very confusing, and the cases will be explained in detail and contrast between the different, and the same p
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:22164
    • 提供者:满生
  1. counter

    0下载:
  2. there is a text file of code for 8 bit up counter in verilog.
  3. 所属分类:Other systems

    • 发布日期:2017-11-22
    • 文件大小:1084
    • 提供者:amit
  1. Frequency-Counter-v11

    0下载:
  2. Frequency counter using pic-Frequency counter using pic
  3. 所属分类:Project Design

  1. ASM-Counter

    0下载:
  2. This counter project ...Asm cod follow Up -This is counter project ...Asm cod follow Up
  3. 所属分类:Hook api

    • 发布日期:2017-12-07
    • 文件大小:737
    • 提供者:Bandara
  1. FREQ-COUNTER

    0下载:
  2. frequency counter in pic 16f877a
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-20
    • 文件大小:669
    • 提供者:suren
  1. up-counter-with-button-proteus

    0下载:
  2. up down visitor counter complete proteus file full project
  3. 所属分类:SCM

    • 发布日期:2017-11-16
    • 文件大小:32336
    • 提供者:cuteusmicky
  1. Counter

    0下载:
  2. random counter that generates random number in midlet programming, see or download the codes to see the output.
  3. 所属分类:JavaScript

    • 发布日期:2017-12-04
    • 文件大小:82483
    • 提供者:odracir
  1. 2-digital-tube-counter

    0下载:
  2. 增强型实验板配套例程库\2位数码管计数器(汇编)-The enhanced experimental board supporting library routines \ 2 digital tube counter (compilation)
  3. 所属分类:assembly language

    • 发布日期:2017-11-22
    • 文件大小:7662
    • 提供者:lhz
  1. six-digit-counter-with-tb

    0下载:
  2. VHDL source code of six digit counter with testbench,with comments included
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-05
    • 文件大小:2942
    • 提供者:fangshan
  1. design-a-decade-counter

    0下载:
  2. 设计一个四位二进制计数器,将计数结果由数码管显示,显示结果为十进制数。数码管选通为低电平有效,段码为高电平有效。-The design of a four-bit binary counter will count digital display, and displays the results as a decimal number. Digital tube strobe active-low segment code for active high.
  3. 所属分类:assembly language

    • 发布日期:2017-11-11
    • 文件大小:14855
    • 提供者:jingzai
  1. VHDL-Binary-counter

    0下载:
  2. Binary counter, its used to count the numbers in binary format
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:14163
    • 提供者:Charles
« 1 2 ... 16 17 18 19 20 2122 23 24 25 26 ... 50 »
搜珍网 www.dssz.com