CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - counter

搜索资源列表

  1. counter

    0下载:
  2. 设计一个十进制计数器模块,输入端口包括 reset、up_enable 和 clk,输出端口为 count 和 bcd,当 reset 有效时(低电平),bcd 和 count 输出清零,当 up_enable 有效时(高电 平),计数模块开始计数(clk 脉冲数),bcd 为计数输出,当计数为 9 时,count 输出一 个脉冲(一个 clk周期的高电平,时间上与“bcd=9”时对齐)-Design of a decimal counter module, input port,
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-11
    • 文件大小:517
    • 提供者:李天劲
  1. counter-0-9999-on-DE1

    0下载:
  2. Hello its simple counter for DE1 boards
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:605056
    • 提供者:Mohammad
  1. counter

    0下载:
  2. 基于C8051单片机的伽马射线总量辐射计数仪-The counter of gamma ray
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-27
    • 文件大小:2190
    • 提供者:王欢
  1. counter

    0下载:
  2. 四位计数器设计,完整的设计工程文件在counter文件夹下-Binary code conversion design, complete design engineering files in data_convert file folder...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:1138652
    • 提供者:xiebaiyuan
  1. A-4-bit-variable-modulus-counter

    1下载:
  2. 用Verilog HDL设计一个4bit变模计数器和一个5bit二进制加法器。在4bit输入cipher的控制下,实现同步模5、模8、模10、模12及用任务调用语句实现的5bit二进制加法器,计数器具有同步清零和暂停计数的功能。主频为50MHz,要求显示频率为1Hz。-A 4-bit variable modulus counter and a 5bit of binary adder using Verilog HDL design. 4bit input under the control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-04
    • 文件大小:1733
    • 提供者:赵玉著
  1. basketball-counter

    0下载:
  2. 篮球机分区,显示两个队的得分分为两个方向积分,每次加1或者减1-basketball counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:5289
    • 提供者:孟楠
  1. Number-of-key-counter

    0下载:
  2. 一种二进制按键个数计数器在matlab中的实现的源码-Number of key counter
  3. 所属分类:matlab

    • 发布日期:2017-11-20
    • 文件大小:9109
    • 提供者:吴建章
  1. Counter.java

    1下载:
  2. 计数器 找出最大值和最小值并且可以递增-i) Write the Counter class which should have two private instance variables: count and max.Add getters and setters for the two private instance variables. The getter methods should be named getCount() and getMax(), whereas the set
  3. 所属分类:JavaScript

    • 发布日期:2017-11-20
    • 文件大小:752
    • 提供者:王杰
  1. Digital-frequency-counter

    0下载:
  2. 数字频率计数器,实现 1、被测输入信号:方波 2、测试频率范围为:10Hz~100MHz -Digital frequency counter, the measured input signal: square wave, the test frequency range: 10Hz to 100MHz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:5776153
    • 提供者:宋世湃
  1. Counter

    0下载:
  2. 一个简易的计数器 从一开始到十结束 可更改功能改为倒计时-Counter that represents a simple counter
  3. 所属分类:JavaScript

    • 发布日期:2017-12-07
    • 文件大小:1052
    • 提供者:商文熙
  1. Decimal-Counter

    0下载:
  2. 十进制计数器(异步置数)及七段数码管显示系统,VHDL语言-Decimal Counter (Asynchronous Set the number) and the seven-segment LED display system, VHDL language
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-12-06
    • 文件大小:877
    • 提供者:真夏
  1. Counter

    0下载:
  2. Counter Directives for linux API Driver.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-12-10
    • 文件大小:1599
    • 提供者:wubongqei
  1. counter

    0下载:
  2. 计数器源代码和测试文件 基于ISE10.1-Counter source code and test files based ISE10.1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:235501
    • 提供者:mayunfeng
  1. counter

    0下载:
  2. 我自己做的一款51单片机的计数器,按键加一,光电开关触发,数码管显示.-I made it myself a counter of 51 single-chip microcomputer and keys plus one, the photoelectric switch is triggered, the digital tube display.
  3. 所属分类:SCM

    • 发布日期:2017-11-26
    • 文件大小:1761
    • 提供者:jaki
  1. counter

    0下载:
  2. Counter Code to test the functionality on Altera devices.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:35992
    • 提供者:Angad
  1. php-web-counter

    0下载:
  2. php网页计数器,已经统计页面访问量。简单,小巧-php web counter
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-03-30
    • 文件大小:1267
    • 提供者:晓晓
  1. Two-separate-button-digital-counter

    0下载:
  2. // 独立2按键控制2位LED共阳数码管计数器 // // 个位数码管接在P0端口; // 十位数码管接在P2端口; -//Buttons control two independent two common anode LED digital tube counter//// bit digital tube connected to the P0 port // ten digital tube connected to port P2 //
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-27
    • 文件大小:18029
    • 提供者:MasterZhou
  1. counter

    0下载:
  2. 计数器实现的verilog代码,基础的实用,大家多多支持-Counter verilog code to achieve, based on practical, we can support
  3. 所属分类:source in ebook

    • 发布日期:2017-03-29
    • 文件大小:16203
    • 提供者:张宇
  1. 4Digit-PIC-Counter

    0下载:
  2. four digit PIC counter circuit. very useful for electronics ethu
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-24
    • 文件大小:173790
    • 提供者:itsdipak
  1. IR-Counter

    0下载:
  2. Ir counter circuit details with descr iption. very useful for users
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:289074
    • 提供者:itsdipak
« 1 2 ... 19 20 21 22 23 2425 26 27 28 29 ... 50 »
搜珍网 www.dssz.com