CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - counter

搜索资源列表

  1. tim-input-catpure-counter

    0下载:
  2. 定时器输入捕获tim input catpure counter-tim input catpure counter
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-04
    • 文件大小:1326
    • 提供者:lianlian
  1. counter

    0下载:
  2. iPhone上简单计时器,在雪豹版苹果系统上开发,具备计时、终止、清零等功能,适合初学者-the simple counter used in iPhone
  3. 所属分类:MacOS develop

    • 发布日期:2017-05-03
    • 文件大小:703411
    • 提供者:
  1. Counter-0-99

    0下载:
  2. PIC based Counter count from 0 to-PIC based Counter count from 0 to99
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:9739
    • 提供者:电暖器
  1. counter

    0下载:
  2. 本程序为计数器的基本驱动示例,计数器工作于方式1。对按键S2按下的次数进行计数,当计数记到3时,第一个发光二极管被点亮。-This program is an example of the basic drivers of the counter, the counter works in mode 1. Press button S2 for counting the number of times when the count to three in mind, the first ligh
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:897
    • 提供者:feifei
  1. pulse-counter

    0下载:
  2. 飞思卡尔智能车可以使用的脉冲计数器 基于9S12平台-Pulse counter Freescale smart car can use the platform based 9S12
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:428200
    • 提供者:WANG Yu
  1. double-4C22-11-counter

    0下载:
  2. 双路4C22-11计数器,用于连接测量仪器显示数据-double 4c22-11 counter, used for connecting to the measuring machine and displaying the data
  3. 所属分类:assembly language

    • 发布日期:2017-04-15
    • 文件大小:8039
    • 提供者:郑智
  1. Malls-crowd-traffic-counter

    0下载:
  2. 商场人群客流量计数器,主要用于景区,商场等场所,记录这些场所的客流量数据,从而有效的对这些客流量进行调控。-Malls crowd traffic counter
  3. 所属分类:CSharp

    • 发布日期:2017-04-16
    • 文件大小:11400
    • 提供者:李鹏飞
  1. counter

    0下载:
  2. 在FPGA 设计中,计数器可以用来对信号的变化情况进行计数,是经常使用的功能块。 这里设计的是一个2 位宽计数器,可以从00 计数到11,计数原则是在时钟信号的控制下,每个时钟周期计数一次。计数器属于时序逻辑电路。-In the FPGA, the counter can be used to count the changes in the signal, the function block is often used. Here design is a two-bit wide,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:716
    • 提供者:Lily
  1. C-the-pulse-counter

    0下载:
  2. 基于51单片机的计数器,实现对外部脉冲技术的功能-Counter, based on 51 single chip microcomputer to realize the function of the external pulse technology
  3. 所属分类:SCM

    • 发布日期:2017-04-28
    • 文件大小:47052
    • 提供者:阮晓柯
  1. counter

    0下载:
  2. 一个可选择的递增和递减的计数器,并进行了仿真验证-a counter can increase and decline,and simulation the function of the counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:415464
    • 提供者:云在阴天
  1. counter

    0下载:
  2. Counter for Msp430g2553
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:32283
    • 提供者:nqd,132
  1. Counter-VHDL

    0下载:
  2. it is a VHDL code for the counter.
  3. 所属分类:Compiler program

    • 发布日期:2017-05-02
    • 文件大小:865903
    • 提供者:chittaranjan
  1. counter

    0下载:
  2. 利用51单片机的中断功能实现计数器的程序设计。-Use of 51 single-chip microcomputer interrupt function implementation counter of program design.
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:149954
    • 提供者:jwb
  1. 6-bit-digital-frequency-counter

    0下载:
  2. 6位数显频率计数器6 bit digital frequency counter-6 bit digital frequency counter
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:630
    • 提供者:chenwqq
  1. counter

    0下载:
  2. 此代码是描述计数器的源代码,计数范围是0~2000和0~5-This code is to describe the counter of the source code,counting range is 0 ~2000 and 0 ~ 5000
  3. 所属分类:Software Testing

    • 发布日期:2017-04-25
    • 文件大小:185679
    • 提供者:kelly
  1. timer-and-counter-

    0下载:
  2. VC 写的定时器,计数器示例程序。适合初学者参考学习。-VC write timer, counter sample program. Suitable for beginners to learn.
  3. 所属分类:Dialog_Window

    • 发布日期:2017-04-25
    • 文件大小:410025
    • 提供者:飞鹏
  1. counter

    0下载:
  2. generating counter using VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:441819
    • 提供者:mohamed
  1. performance-counter

    0下载:
  2. it can be use for performance counter. you can control PC performance as byte type
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:77014
    • 提供者:OSMAN CANSEVER
  1. counter

    0下载:
  2. here is counter program 0 to 9999 by pressing a button,, it will increment 2 buttons are here... one for inc and another for dec -here is counter program 0 to 9999 by pressing a button,, it will increment 2 buttons are here... one for inc and anothe
  3. 所属分类:software engineering

    • 发布日期:2017-04-12
    • 文件大小:806
    • 提供者:prathap
  1. counter

    0下载:
  2. 基于Xilinix公司的BASYS2板子完成的一个计数器电路以及仿真代码。-Based on a counter circuit board Xilinix company BASYS2 completed and simulation code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:630
    • 提供者:wuwu
« 1 2 ... 22 23 24 25 26 2728 29 30 31 32 ... 50 »
搜珍网 www.dssz.com