CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - counter

搜索资源列表

  1. counter

    0下载:
  2. 酷飞v1.0 php+文本计数器 软件版本:v1.0.11.05 适合初学者使用(每一行代码都有注释)。php+文本数据 直接上传空间。即可使用。无须修改任何文件 1首先您的空间必须支持php语言。 2、将所有文件及目录上传至空间中 -Cool Flying v1.0 php+ Text Counter Software Version: v1.0.11.05 for beginners (every line of code has notes). php+ text data directl
  3. 所属分类:Web Server

    • 发布日期:2017-04-13
    • 文件大小:1896
    • 提供者:ocfbvv
  1. Counter-Design-with-FF

    0下载:
  2. 利用不同种类的寄存器设计任意计数序列的计数器。-Using different types of register design of arbitrary sequence counter counts.
  3. 所属分类:Project Design

    • 发布日期:2017-05-04
    • 文件大小:66838
    • 提供者:Bo Pang
  1. counter

    0下载:
  2. it has 16 bit 4 sven segment counter
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-04
    • 文件大小:20168
    • 提供者:hod
  1. counter

    0下载:
  2. counter code to couter the input signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:12059
    • 提供者:rahulshandilya1
  1. 7-segment-counter

    0下载:
  2. 7 segment counter in VHdl-7 segment counter in VHdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2324856
    • 提供者:yassine
  1. frequency-counter

    0下载:
  2. frequemcy counter using pic16f84 and 4 digit seven segment © pira
  3. 所属分类:Project Design

    • 发布日期:2017-05-04
    • 文件大小:10254
    • 提供者:steven
  1. counter

    0下载:
  2. This file gives the code for counter.
  3. 所属分类:Communication

    • 发布日期:2017-04-12
    • 文件大小:518
    • 提供者:Divya
  1. counter

    0下载:
  2. 用QT的定时器QTimer实现简单的计数器的功能(Qt5与C++)-Using QT timer QTimer to achieve a simple counter function (Qt5 and C++)
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-07
    • 文件大小:1035910
    • 提供者:赵水
  1. VHD-L-QUARTUS--Counter

    0下载:
  2. 基于QUARTUS软件的VHDL语言开发,文件中含有VHDL语言设计的分频器,加法减法计数器,并生成有原理图,只要有QUARTUS软件即可仿真运行。-VHDL QUARTUS Counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:11118607
    • 提供者:STAR LEE
  1. counter

    0下载:
  2. 用定时/计数器T1产生定时时钟,由P1口控制8个发光二极管,使8个指示灯依次一个一个闪动, //闪动频率为半秒钟1次-With timer/counter T1 timer clock generated by the P1 port control of eight light-emitting diodes, so that eight lights in turn one by one flashing, // The flashing frequency is once ever
  3. 所属分类:software engineering

    • 发布日期:2017-05-04
    • 文件大小:8528
    • 提供者:周碧妍
  1. counter

    0下载:
  2. 基于51单片机的数码管计数器,附例程源码与protues原理图-Based on 51 single-chip digital control counter, with source code and protues schematic diagram
  3. 所属分类:SCM

    • 发布日期:2017-05-06
    • 文件大小:610046
    • 提供者:chen
  1. Gray Counter

    0下载:
  2. Gray counter verilog code
  3. 所属分类:其它

  1. counter

    0下载:
  2. Counter using Verilog
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:948
    • 提供者:tee
  1. counter

    0下载:
  2. 基于AVR单片机的四位七段计数器,从左到右是秒的各位到分的十位,0-9秒只显示秒的个位,10-60秒只显示秒的个位和十位,分位以此类推-ased on the four-bit AVR microcontroller seven-segment counter, left to right is the seconds of the minutes to the 10-bit, 0-9 seconds only seconds, Bit and so on
  3. 所属分类:SCM

    • 发布日期:2017-05-05
    • 文件大小:26544
    • 提供者:陈正鑫
  1. Counter

    0下载:
  2. 采用HDL语言,实现计数器的功能,这个在程序设计中很常见。-The counter is design by HDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:303422
    • 提供者:robin
  1. baseed-on-EDA-of-three-BCD-counter

    0下载:
  2. 基于EDA的三位BCD计数器,实现从0到999的计数功能-based on EDA of three BCD counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-16
    • 文件大小:12110848
    • 提供者:午后红茶
  1. 00-99 counter

    0下载:
  2. 利用AT89S51单片机来制作一个手动计数器,在AT89S51单片机的P3.7管脚接一个轻触开关,作为手动计数的按钮,用单片机的P2.0-P2.7接一个共阴数码管,作为00-99计数的个位数显示,用单片机的P0.0-P0.7接一个共阴数码管,作为00-99计数的十位数显示(To create a manual counter using AT89S51 microcontroller, in AT89S51 MCU P3.7 pin as a touch switch, manual count
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-26
    • 文件大小:47104
    • 提供者:lastnight
  1. bwyinner-with-counter

    0下载:
  2. An example of a counter buffer demonstration study (DEMOcounter), with a beginner, OK,
  3. 所属分类:其他小程序

    • 发布日期:2017-12-21
    • 文件大小:12288
    • 提供者:lrop&670
  1. decimal_counter

    0下载:
  2. Decimal counter in VHDL
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:195584
    • 提供者:sidpokhrel
  1. digit_hex_4

    0下载:
  2. 4 Digit HEX Counter,VHDL, Spartan 3E, Nexys 2
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:186368
    • 提供者:sidpokhrel
« 1 2 ... 26 27 28 29 30 3132 33 34 35 36 ... 50 »
搜珍网 www.dssz.com