CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - d触发器

搜索资源列表

  1. 二进制串行-1计数器

    0下载:
  2. 大学计算机数字逻辑实验作业 用Multisim仿真软件编写 计数器 用双D触发器74Ls74构成四位二进制串行计数器 二分频计数原理-University computer digital logic operations using Multisim experimental simulation software used to prepare counter-D Trigger 74Ls74 constitute four serial binary frequency counter t
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:27866
    • 提供者:赵传仕
  1. jianpansou

    0下载:
  2. 8路键盘D触发器的应用,提供了相关电路设计图以及擦c源代码 -8-way D keyboard trigger the application of the relevant provision of the circuit layout and rub c source code
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:265042
    • 提供者:梁宏波
  1. dff_UDP

    0下载:
  2. verilog实现,UDP描述带有异步复位的正边沿触发D触发器,test测试通过-verilog achieve, UDP asynchronous reset with a descr iption of the fringe is triggered D flip-flop, test test pass
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:853
    • 提供者:seiji
  1. dffwewe

    0下载:
  2. 自己刚编写的vhdl语言来实现的D触发器,自我感觉还可以,也通过了编译,如果有需要就下载去看看吧-just prepared their own language to achieve vhdl D flip-flop, but also a sense of self, but also through a compiler, If there is a need to look at the downloaded Look here
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1051
    • 提供者:wenjun
  1. DFF1

    0下载:
  2. 由VHDL 语言实现的D触发器利用的是QUARTUES环境已经得到验证
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:127753
    • 提供者:df
  1. dd

    0下载:
  2. 里用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲利用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲,同时计数,实现频率测量功能。在保证产品质量和提高产品功能的同时降低制作成本, 对产品进行简化设计。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:58871
    • 提供者:袁辉
  1. qqqqqqqqqq

    0下载:
  2. 里用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲利用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲,同时计数,实现频率测量功能。在保证产品质量和提高产品功能的同时降低制作成本, 对产品进行简化设计。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3088
    • 提供者:袁辉
  1. fffffff

    0下载:
  2. 里用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲利用单片机、2个计数器以及D触发器对被测信号脉冲与标准信号脉冲,同时计数,实现频率测量功能。在保证产品质量和提高产品功能的同时降低制作成本, 对产品进行简化设计。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:302214
    • 提供者:袁辉
  1. Ddelay

    0下载:
  2. 在Quartus下使用D触发器来加入延迟,每个D触发器增加半个周期的延迟,稍加更改可以得到不同的延迟。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:378580
    • 提供者:桃子
  1. def1

    0下载:
  2. 实现D触发器的基本功能,D触发器的功能是时钟信号为上升沿时检测输入信号并将其赋值给输出信号并维持到下一个上升沿(压缩包内为所有MAXPLUS2程序)
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:11169
    • 提供者:刘美
  1. CD4013

    0下载:
  2. 用CD4013双D触发器做的脉冲4分频器,以及单键触模式灯开关介绍,只用一个触摸电极片,就能完成开灯和关灯,以及多个触摸式开关电路举例
  3. 所属分类:其它

    • 发布日期:2014-01-17
    • 文件大小:255300
    • 提供者:魏臻
  1. 08_VHDL_simulation2

    0下载:
  2. 台湾人梁奕智写的VHDL编程学习的PPT讲义,里面包括内容有D触发器、寄存器、累加器、计数器、有限状态机等非常有用的内容。
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-20
    • 文件大小:689787
    • 提供者:WeimuMa
  1. D

    0下载:
  2. FPGA VERILOG实现 D触发器 -FPGA VERILOG D flip-flop
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:218367
    • 提供者:李冰
  1. The-D-flip-flop

    0下载:
  2. D触发器的Verilog硬件语言实现,开发环境是ModelSim-The D flip-flop of the Verilog hardware language development environment is ModelSim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:3151
    • 提供者:klxl
  1. D-flip-flop

    0下载:
  2. D 触发器的描述 寄存器的行为 描述 -D flip-flop registers describe the behavior described in the behavior described register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-05
    • 文件大小:260869
    • 提供者:xiaopeng
  1. D-trigger

    0下载:
  2. FPGA/CPLD开发,基于VHDL语言的D触发器的实现-FPGA/CPLD development, based on VHDL implementation of the D flip-flop
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:213981
    • 提供者:刘志芳
  1. D-Trigger

    4下载:
  2. 基于labview的可以 实现的D触发器-D Trigger
  3. 所属分类:LabView

    • 发布日期:2017-03-30
    • 文件大小:28449
    • 提供者:jef
  1. D-trigger

    0下载:
  2. FPGA EPM1270 VHDL D触发器。完整文件夹包-FPGA EPM1270 VHDL D flip-flop. Complete document wallets
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:168738
    • 提供者:丹丹
  1. JBD

    0下载:
  2. 基本的D触发器,可实现基本的保持功能。输入到输出不变。(The basic D flip flops enable basic retention functions. Input to output remain unchanged.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:3072
    • 提供者:紫芩
  1. D_ff - 快捷方式

    0下载:
  2. D触发器 主要是连续赋值。一个比较简单的代码,欢迎指正(D is the main trigger continuous assignment.A relatively simple code, welcome.)
  3. 所属分类:其他

    • 发布日期:2018-01-09
    • 文件大小:29696
    • 提供者:5325864
« 1 23 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com