CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - de2

搜索资源列表

  1. lab6

    0下载:
  2. de2 altera 实验7 finite state machines 答案-de2 altera experiment 7 finite state machines answer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:1416837
    • 提供者:shuang
  1. texi

    0下载:
  2. 出租车计费器。verilog语言设计,合理利用了de2开发板资源,功能全面-Taxi meter. verilog language design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:1360754
    • 提供者:zzh
  1. lcd2

    0下载:
  2. DE2开发板液晶显示器的使用,包含了点阵型和字符型两种。成功在板上进行了验证。-DE2 development board LCD display, which includes two kinds of dot matrix and character. Successfully verified on the board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:736670
    • 提供者:zzh
  1. jiaotongdeng

    0下载:
  2. Verilog编写的交通灯程序,Altera公司的DE2开发学习板。-Verilog prepared by the traffic lights program, Altera' s the DE2 development of learning board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:405183
    • 提供者:ganding
  1. chuzuche

    0下载:
  2. Verilog编写的出租车计价程序,Altera公司的DE2开发板环境中。-Taximeter program written by Verilog, Altera' s DE2 development board environment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:1490633
    • 提供者:ganding
  1. MT9M011_CCD

    0下载:
  2. DE2配套摄像头,图像采集程序key0-3控制拍摄照相复位等功能-DE2 image acquisition
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:3437625
    • 提供者:dlj
  1. SEG

    0下载:
  2. 采用DE2 实现数码管递增 VERILOG-Using DE2 achieve the digital pipe incremental VERILOG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-09
    • 文件大小:981
    • 提供者:金纯
  1. DE2_70_TV

    0下载:
  2. de2 70 开发板的演示程序,verilog语言编写,视频输入输出-de2 70 development board demo program, verilog language written, video input and output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-10-31
    • 文件大小:181036
    • 提供者:chris
  1. PWM

    0下载:
  2. 用Verilog编写的PWM产生器,已经在cyclon DE2板子上测试通过,建议用Quartus 10.1综合。-PWM generator using Verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:4524853
    • 提供者:Cristie
  1. SoftDrink

    0下载:
  2. 用Verilog编写的自动售货机控制程序,在cyclon DE2开发板上测试通过,建议用Quartus 10.1编译。-Vending machine control program written using Verilog test by in cyclon DE2 development board, we recommend using Quartus 10.1 compiler.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:2067866
    • 提供者:Cristie
  1. DM9000A_IF

    0下载:
  2. DE2平台上对DM9000A进行管脚配置的vhdl程序。-DE2 platform on the pin configuration of the VHDL program on DM9000A
  3. 所属分类:Driver Develop

    • 发布日期:2017-11-05
    • 文件大小:534
    • 提供者:安昭旭
  1. audio

    0下载:
  2. DE2平台上对声音的驱动程序,完成对系统的初始化配置-DE2 platforms to sound drivers, and finish the initial configuration of the system
  3. 所属分类:Driver Develop

    • 发布日期:2017-11-18
    • 文件大小:998
    • 提供者:安昭旭
  1. FIFO_16_256

    0下载:
  2. 基于DE2平台的开发程序,完成对FIFO的初始化设置-DE2 platform based on the completion of the FIFO program, the initialization settings
  3. 所属分类:Driver Develop

    • 发布日期:2017-11-16
    • 文件大小:1816
    • 提供者:安昭旭
  1. ISP1362_IF

    0下载:
  2. 基于DE2平台的程序开发,完成对ISP总线的初始化设置-Based on the DE2 platform application development, completion of ISP bus initialization Settings
  3. 所属分类:Driver Develop

    • 发布日期:2017-11-14
    • 文件大小:520
    • 提供者:安昭旭
  1. VGA_Controller

    0下载:
  2. 基于DE2平台的程序开发,完成对VGA控制的初始化设置-Based on DE2 platform application development, completes initialization of VGA control Settings
  3. 所属分类:Driver Develop

    • 发布日期:2017-11-25
    • 文件大小:1126
    • 提供者:安昭旭
  1. VGA_NIOS_CTRL

    0下载:
  2. 基于DE2平台的程序开发,完成对VGA与nios连接的初始化设置-Based on DE2 platform application development, complete the VGA connects to nios initialization Settings
  3. 所属分类:Driver Develop

    • 发布日期:2017-11-23
    • 文件大小:1032
    • 提供者:安昭旭
  1. Columbia-University-on-VGA-video

    0下载:
  2. vga的现实原理与实际应用,基于DE2 FPGA开发板的应用,很透彻,很贴切,很有参考价值-vga reality principle and practical application, based on the application of the DE2 FPGA development board, very thorough, very appropriate, great reference value
  3. 所属分类:Picture Viewer

    • 发布日期:2017-11-21
    • 文件大小:382573
    • 提供者:wangxing
  1. uart_lcd

    0下载:
  2. 基于FPGA的UART通信,并用LCD(1602)显示通讯状态和通讯的数据。通过在ALTERA公司生产的DE2-115开发板上运行,证明此程序稳定可靠。时钟为50MHz,语言为VHDL,状态机。-FPGA-based UART communication, and LCD (1602) show the communication status and data communications. DE2-115 development board by ALTERA Company product
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:6436299
    • 提供者:jiazhaorong
  1. DE2_115_Audio

    0下载:
  2. DE2-115开发板音频录放verilog HDL代码-DE2-115 development board audio recorders verilog HDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:1906350
    • 提供者:张海军
  1. DE2_115_NIOS_DEVICE_LED

    0下载:
  2. DE2-115开发板的LED灯设计 Verilog HDL语言编写-DE2-115 development board LED lamp design Verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:4140081
    • 提供者:张海军
« 1 2 ... 37 38 39 40 41 4243 44 45 46 47 ... 50 »
搜珍网 www.dssz.com