CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - digital electronics

搜索资源列表

  1. 1102

    0下载:
  2. 数字电子—计数器的使用,计数器设计必备参考资料-Digital electronics- the use of counters, counter an essential reference design
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:59502
    • 提供者:马克
  1. bcdtoexcess3

    0下载:
  2. this the program which can help to convert bcd code to excess3 code,the perfect circuitary has been given in this document which will lead you to understand it more properly.Reference is taken from morris mano book of digital electronics.This program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:282438
    • 提供者:jatab
  1. Seven-Segment

    0下载:
  2. 七段码显示,掌握了七段数码管动态显示的方法,对以后的实际应用才能打下良好的基础,通过学习A/D转换、传感器方面的知识,结合已学过的模拟电子、数字电子课程,可以实现温度检测控制、电子称制作、时钟显示等多方面的设计-Seven-Segment code shows that seven-segment digital tube mastered the dynamic display method, the practical applications of the future in order
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:2541
    • 提供者:xhl
  1. HexEnc_src

    0下载:
  2. Hexadecimal notation is used as a human-friendly representation of binary values in computer programming and digital electronics. Most programming languages such as Java, ASP.NET, C++, Fortran etc have built-in functions that convert to and from hex
  3. 所属分类:CSharp

    • 发布日期:2017-04-03
    • 文件大小:50818
    • 提供者:uy
  1. Digital_Signal_Integrity

    1下载:
  2. 信号完整性的经典书籍,美国电子工程师德必备之书。认真读完后才能懂得电子设计-Signal integrity of the classic books, the United States and Electronics Engineers Germany must have book. Know how to read only after careful electronic design
  3. 所属分类:Project Design

    • 发布日期:2017-06-09
    • 文件大小:16348680
    • 提供者:yangshuzhi
  1. Digitalprinciplesandsystemdesig

    0下载:
  2. digital electronics model q paper
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:68394
    • 提供者:kk
  1. Classic_digital_digital_electronic_documents

    0下载:
  2. 数字 化经典电子文Classic digital digital electronic documents档-Digital Classic Digital Electronics Man Classic digital digital electronic documents files
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:801063
    • 提供者:nsl
  1. Digital_Electronics

    0下载:
  2. 12堂课 数字电路 labview案例教学-<<Fundamentals of Digital Electronics>> with 12 demonstration experiments
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1082308
    • 提供者:电风扇
  1. all-digital-fm-receiver

    0下载:
  2. all digital fm receiver using vhdl programming language project for electronics and communication engineering students.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1545334
    • 提供者:Rahul
  1. ADE-papaer-I

    0下载:
  2. analog and digital electronics
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:65939
    • 提供者:prabhat
  1. clock_Lecture10

    0下载:
  2. Clock Lecture discusses some important points to be taken care while designing with timing & synchronization. A must read presentation for VLSI & Digital Electronics engineers.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:147765
    • 提供者:Amol/justamol
  1. Decoder

    0下载:
  2. it is a new theory of decoder which describes all function of decoder and all fundamental things of decoder which is used in digital electronics
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:30775
    • 提供者:rain
  1. Demultiplexer

    0下载:
  2. it is a new theory of demux which describes all function of demux and all fundamental things of demux which is used in digital electronics
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:19199
    • 提供者:rain
  1. Encoder

    0下载:
  2. it is a new theory of encoder which describes all function of encoder and all fundamental things of encoder which is used in digital electronics
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:11402
    • 提供者:rain
  1. Karnaugh-map

    0下载:
  2. it is a new theory of k-map, which describes all function of kmap and all fundamental things of kmap which is used in digital electronics
  3. 所属分类:SCM

    • 发布日期:2017-04-23
    • 文件大小:114492
    • 提供者:rain
  1. logic-gates

    0下载:
  2. it is a new theory of gats which describes all function of gates and all fundamental things of gates which is used in digital electronics
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-16
    • 文件大小:9101
    • 提供者:rain
  1. multiplexer

    0下载:
  2. it is a new theory of decoder which describes all function of decoder and all fundamental things of decoder which is used in digital electronics
  3. 所属分类:Compiler program

    • 发布日期:2017-04-16
    • 文件大小:24810
    • 提供者:rain
  1. shuzidianzijishujichu

    0下载:
  2. 比较易懂的数字电子技术教程,适合多数人,建议可以看看 -Relatively easy to understand digital electronics tutorial for most people, it is recommended to see
  3. 所属分类:Other systems

    • 发布日期:2017-06-19
    • 文件大小:29054601
    • 提供者:juan
  1. Digital-Forensics

    0下载:
  2. I wrote simple article about Digital Forensics in Electronics for You megazine. This is primer for digital forensics concepts.
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:411837
    • 提供者:tom
  1. Opto-Electronics-Image-Processing-1

    0下载:
  2. 冈萨雷斯版 数字图像处理 图像处理分析与机器视觉 1-Gonzalez version of the digital image processing and machine vision image processing and analysis 1
  3. 所属分类:Special Effects

    • 发布日期:2017-05-15
    • 文件大小:3733972
    • 提供者:yx
« 1 23 4 5 6 7 »
搜珍网 www.dssz.com