CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga clock

搜索资源列表

  1. Tutorial_5

    0下载:
  2. 一个序列检测器的FPGA设计实验,通过LED灯显示,基于Spartan-3e开发板-The sequence detector will look for the input series “10010.” LED’s will show how much of the series has been detected and when the entire series has been entered an additional LED will come on. Circuit input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1221235
    • 提供者:飞飞三号
  1. clk_div3

    0下载:
  2. 在fpga中对于pll无法完成的分频,可采用计数方式,本例用状态机实现对时钟的奇数分频。-Pll in fpga can not be completed in the sub-frequency counting method can be used, in this case with the state machine to achieve an odd number on the clock frequency.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:281546
    • 提供者:郝强
  1. EDAdianzizhong

    0下载:
  2. 基于FPGA的数字电子钟设计,有VHDL语言实现其功能-FPGA-based design of digital electronic clock with VHDL language function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:873347
    • 提供者:王嘉威
  1. en_ctrl(u)

    0下载:
  2. 此源码为基于FPGA的液晶屏显示的数字钟程序,程序包含强大的液晶显示控制模块。-The source code for the FPGA-based LCD screen display digital clock program, the program includes a powerful liquid crystal display control module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:165674
    • 提供者:王强
  1. clock_VHDL

    0下载:
  2. 主要供学习FPGA的人员学习如何写VHDL程序之用,该程序实现了时钟的二分频等功能。-Primarily for learning FPGA-VHDL program to learn how to write use, the program achieved the second clock frequency and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1041532
    • 提供者:cao
  1. clock_vhdl

    0下载:
  2. 使用quartus ii开发的FPGA电子时钟的VHDL源代码,分模块写法,在1602液晶上显示,具有走时,调节时间功能-Using quartus ii the development of electronic clock FPGA VHDL source code, sub-module written in the 1602 LCD display, with travel time, settling time function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:617981
    • 提供者:陈飞
  1. ADC0809

    0下载:
  2. 基于VHDL语言,实现对ADC0809简单控制。ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟-Based on VHDL language, to achieve simple control of ADC0809. ADC0809 no internal clock, an external 10KHz ~ 1290Hz clock signal, where
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:410194
    • 提供者:李维
  1. AX_Clock_Dithering_AN

    0下载:
  2. Frequency fine tuning and clock dithering using ACTEL FPGA devices.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:181902
    • 提供者:Feel
  1. FPGAclock

    0下载:
  2. FPGA设计中,时钟设计是很重要的一环,本文主要描述了FPGA设计中时钟设计的重要事项-FPGA design, clock design is a very important part, this paper describes the design of FPGA design, the clock on important issues
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:143712
    • 提供者:张凯
  1. VHDLclokedisplaycounter

    0下载:
  2. 基于秒表改换的测频率计,分为三个项目立化,分别为计算、时钟、显示。用于FPGA试验台-Change based on the measured frequency meter stopwatch, set of three projects, namely, computing, clock, display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:9729
    • 提供者:qizi
  1. dfilter

    0下载:
  2. 用FPGA实现信道化接收机算法,共256个信道,处理时钟40M,时分复用完成算法实现-FPGA implementation using channelized receiver algorithm, a total of 256 channels, processing clock 40M, time division multiplexing algorithm to complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16457684
    • 提供者:许磊
  1. yt7132_clock

    1下载:
  2. 用VHDL语言编写的12/24小时时钟,利用EDA系统软件QuartusII环境下基于FPGA/CPLD的数字系统设计方法-VHDL language with the 12/24 hour clock, the use of EDA software QuartusII environment based on FPGA/CPLD design of digital system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2105880
    • 提供者:Cherry
  1. VGA2

    0下载:
  2. VGA controller initialy designed for altera DE2 FPGA with 10 bits DAC. probably works with other systems if you have the correct clock source.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2331
    • 提供者:alzemiro
  1. DIGITAL_CLOCK_TEST

    0下载:
  2. 数字钟的FPGA实验,挺好用的,修改了一般代码的频闪问题,时间不准的问题,应用于CYLONE2平台及外借数码管-Digital clock FPGA experiments, very good use, modify the general code of strobe, time allowed to question, and the loan application CYLONE2 digital platform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:3777
    • 提供者:simon greenhall
  1. FPGAlarge-scaledesign

    0下载:
  2. 利用 FPGA 实现大型设计时,可能需要FPGA 具有以多个时钟运行的多重数据通路,这种 多时钟FPGA 设计必须特别小心,需要注意最大时钟速率、抖动、最大时钟数、异步时钟 设计和时钟/数据关系。设计过程中最重要的一步是确定要用多少个不同的时钟,以及如何 进行布线,本文将对这些设计策略深入阐述。-Using FPGA to achieve large-scale design, may need to run the FPGA with multiple clocks to mult
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:173943
    • 提供者:张小琛
  1. Final

    0下载:
  2. This module contains a digital clock which can enables clock setup option and up to four alarms. This was targeted Virtex-5 FPGA (ML501) and interfaced with LCD display. and center, north and east push buttons.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1090920
    • 提供者:mvnvprasad
  1. double_dcm

    0下载:
  2. 这个主要是在xilinx FPGA中双DCM连接的问题,这个问题网上资料很少,自己研究后并且仿真之后可以实现两个dcm的正常工作,实现倍频和时钟的反相-This is mainly the double in xilinx FPGA DCM connection problem which little information online, their own studies and simulation can be achieved after the normal work of the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:81107
    • 提供者:张元甲
  1. LCD1602shizhong

    0下载:
  2. 基于FPGA设计的1602显示的时钟,分为几个模块,VHDL语言-FPGA-based design 1602 show the clock, is divided into several modules, VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:642686
    • 提供者:谭海龙
  1. topclock

    0下载:
  2. 基于FPGA的数字钟设计,带有正点报时任意时刻闹钟-Design of FPGA-based digital clock, alarm clock with a punctual timekeeping at any time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1560
    • 提供者:熊天天
  1. driverfromlcd

    0下载:
  2. 一个用FPGA控制12864液晶输出时钟信息代码,可以根据自己的需要更改。-A control with the FPGA code 12864 LCD output clock information can be changed according to their needs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:408409
    • 提供者:
« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 ... 28 »
搜珍网 www.dssz.com