CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga vhdl

搜索资源列表

  1. This_is_pci-wishbone_nuclear_and_16450_serial_port

    0下载:
  2. 这是用pci-wishbone核和16450串口核在xilinx的FPGA上实现的。-This is pci-wishbone nuclear and 16450 serial port on the nucleus in xilinx FPGA-implemented.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-25
    • 文件大小:8428037
    • 提供者:iceskull
  1. gh_vhdl_library_latest[1].tar

    0下载:
  2. turbo codinf in vhdl code
  3. 所属分类:software engineering

    • 发布日期:2017-05-12
    • 文件大小:3015551
    • 提供者:deniz
  1. FPGA-basedmulti-channelSPWMControllerResearchandDe

    0下载:
  2. 基于FPGA的多路SPWM控制器的研究与设计,收费硕士论文,文章详细研究了SPWM控制器的VHDL实现.-FPGA-based multi-channel SPWM Controller Research and Design, charges master paper, the article detailed study of the SPWM controller VHDL implementation.
  3. 所属分类:Project Design

    • 发布日期:2017-05-08
    • 文件大小:1847032
    • 提供者:Jiang Guangxiu
  1. traffic_light

    0下载:
  2. this project is traffic lights on fpga. ı used xilinx ise and simulated modelsim. [used spartan 3e development kit]. -this project is traffic lights on fpga. ı used xilinx ise and simulated modelsim. [used spartan 3e development kit].
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:657287
    • 提供者:ali
  1. usb

    0下载:
  2. 这是一个USB的FPGA,VHDL研究解决方案,全部开源,详情请看内部txt文件-This is a USB-FPGA, VHDL on a solution, all open source, more information, please txt files inside
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:206356
    • 提供者:黄振
  1. direct_moto

    1下载:
  2. 基于FPGA的直流电机驱动,有32级速度选择,正反转和使能端。在硬件测试通过,效果良好-FPGA-based DC motor drive, there are 32 speed options, positive inversion, and enable end. On the hardware test results were very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:393583
    • 提供者:wdw
  1. gpsfpga

    0下载:
  2. gps design using fpga project thesis very useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:377855
    • 提供者:venkata
  1. FPGA

    0下载:
  2. fpga测温的框图和源码 希望能帮到大家 没有测试 紧供参考-fpga vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:35776
    • 提供者:何思
  1. fpga

    0下载:
  2. 学习FPGA很有价值的27个例子,以VHDL为例子,也可以用verilog-27examples of fpga for the leaner
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1278641
    • 提供者:方主
  1. FPGA-LCD

    0下载:
  2. VHDL文章:以FPGA为核心的液晶显示电路设计与实现-VHDL article: The FPGA as the core liquid crystal display circuit design and implementation of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:245107
    • 提供者:王恒毅
  1. dianti

    1下载:
  2. FPGA的电梯控制程序,用vhdl语言实现电梯的控制的代码-FPGA elevator control program, using vhdl language implementation code for the control of the elevator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-18
    • 文件大小:3309
    • 提供者:萤火虫
  1. uart

    0下载:
  2. FPGA中的UART模块,调试通过的哦!!希望对大家有所帮助,呵呵。。。我用的是quartus7.2版本编写的,当然也有些copy网上的-FPGA in the UART modules, debugging through the Oh! ! We want to help, Hehe. . . I use the quartus7.2 version of the written, of course, also some copy online
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1766612
    • 提供者:单子奇
  1. FPGA_VGA_displaydoctum

    0下载:
  2. 使用 FPGA 控制 VGA 显示 相关知识介绍:包括 显示器术语 显示卡术语 VGA 时序设计 色彩原理 显示 源代码 相关测试图片-The use of FPGA control VGA display relevant knowledge, Introduction: terminology, including display graphics card design color theory terminology VGA timing related t
  3. 所属分类:Picture Viewer

    • 发布日期:2017-03-26
    • 文件大小:52866
    • 提供者:林锦鸿
  1. DS18B20_VHDL

    0下载:
  2. DS18B20 VHDL 配置程序,fpga 验证,可以实现配置-DS18B20 VHDL configuration program, fpga verification, configuration can be achieved
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-27
    • 文件大小:569933
    • 提供者:李宗州
  1. FPGA

    0下载:
  2. 基于FPGA的以太网接口数据采集器设计与实现-FPGA-based Ethernet Data Acquisition System Design and Implementation
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:185542
    • 提供者:郑玉
  1. SLAVE_FIFO_16BITS

    0下载:
  2. 68013和FPGA通信 含有68013 slave firmware 含有FPGA VHDL程序-communication between 68013 and FPGA including 68013 slave firmware including FPGA VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1624794
    • 提供者:xinsheng
  1. LCD-VHDL-

    0下载:
  2. LCD控制VHDL程序与仿真 以FPGA驱动LCD显示中文字符“年”程序为例-LCD control and simulation of VHDL program to FPGA-driven LCD display Chinese characters " year" program as an example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:4703
    • 提供者:qingyin
  1. prog_dds

    0下载:
  2. FPGA VHDL DDS程序,采用FPGA实现1hz到100khz可调的dds程序,频率调节步长是变化的。-FPGA VHDL DDS program, using FPGA to achieve 1hz to 100khz adjustable dds procedures, the frequency adjustment step size is changing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1240225
    • 提供者:张鹏
  1. VHDL

    0下载:
  2. 双口RAM模块源代码(VHDL),用于开发FPGA的双口RAM,可以直接下载到工程中使用。-Dual-port RAM module source code (VHDL), for the development of FPGA' s dual-port RAM, can be directly downloaded to the project use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:738
    • 提供者:wu
  1. ep1c6_35_ps2_keyboard_test

    0下载:
  2. ps2 鼠标的FPGA实现,用VHDL语言在epc16上测试通过-ps2 mouse FPGA, VHDL, language epc16 the test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:171487
    • 提供者:yangshuzhi
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 50 »
搜珍网 www.dssz.com