CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - i2c verilog slave

搜索资源列表

  1. I2C_slave_model

    0下载:
  2. 完整的I2C slave model以及spec詳附在內,適合想利用verilog開發此類傳輸的人參考 -integrity of the I2C slave model and spec are attached, want to use Verilog for the development of such transmission of reference
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:226108
    • 提供者:李寧
  1. I2CSlave

    0下载:
  2. Verilog HDL实现的I2C Slave模拟-achieve the Verilog HDL simulation I2C Slave
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1521
    • 提供者:lzy
  1. I2Cslave

    0下载:
  2. i2c slave,这个是I2CBUS接收端的源代码,由VERILOG写成,经过综合和调试
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1674
    • 提供者:Xiaoyang Wang
  1. i2c_p_altera

    0下载:
  2. altera i2c slave ip核verilog 编写
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1582893
    • 提供者:1984taozi
  1. i2cslave

    0下载:
  2. 此代码是I2C Slave的Verilog源代码,已经经过上板调试,没问题。-This code is the I2C Slave of Verilog source code, has been on the board debugging, no problem.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1257834
    • 提供者:Evan Xie
  1. I2C_master_code

    0下载:
  2. 主要介绍,I2C总线主设备发送数据给从设备,代码实现是用Verilog语言实现的,对硬件设计者有很大好处-Introduces, I2C bus master to send data to the slave device, code is implemented in Verilog language, the hardware designer of great benefit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:9926
    • 提供者:sufei
  1. i2cslave_latest.tar

    0下载:
  2. I2C从机控制器Verilog源码,实现标准I2C从机接口-I2C slave controller Verilog source code to achieve the standard I2C slave interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1296859
    • 提供者:CL
  1. i2cSlave_2

    0下载:
  2. Verilog source for i2C Slave device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1764
    • 提供者:SS
  1. i2c_fsm.v

    0下载:
  2. This a verilog module which describes a i2c slave fsm with one-hot encode.-This is a verilog module which describes a i2c slave fsm with one-hot encode.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1189
    • 提供者:sunruns
  1. i2c_slav_tb4

    0下载:
  2. verilog, i2c slave, 两个输入端口,可自由切换。-verilog, i2c slave, two input ports are free to switch.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:2449
    • 提供者:Kitman
  1. i2c_reg

    1下载:
  2. 用verilog实现的一个从机的I2C通信模块,测试通过可用,已经在项目用的了!-Using verilog achieve a slave I2C communication module, the test is available, has been used in the project!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2614
    • 提供者:linhanxiong
  1. i2c_slave

    0下载:
  2. 使用verilog语言实现I2C Slave功能模块,带有地址匹配和8位寄存器和8位数据读写。-verilog HDL I2C Slave function module with address matching and eight 8-bit data registers and write.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:381504
    • 提供者:joans
  1. i2c_slave

    0下载:
  2. I2c slave 16 bit data verilog 代码-i2c slave verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3249
    • 提供者:jimmy
  1. i2c_slave

    0下载:
  2. Verilog实现的i2c从设备仿真模型,只需修改控制码就可直接使用,自用-Verilog implementations i2c slave device simulation models, simply modify the control code can be used directly, for personal use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4876
    • 提供者:爱阳阳
  1. OV7725_i2c_timing_ctrl

    1下载:
  2. iic接口verilog HDL代码,经过测试验证,在OV7725控制接口上验证- //i2c interface output i2c_sclk, //i2c clock inout i2c_sdat, //i2c data for bidirection //user interface input [7:0] i2c_config_size, //i2c config data counte output reg [7:0] i2c
  3. 所属分类:source in ebook

    • 发布日期:2017-04-14
    • 文件大小:3283
    • 提供者:bryan
  1. I2C_slaver_verison3.0

    0下载:
  2. I2C从机模块,包含testbench,平台是vivado,仿真测试通过。(I2C slave module, including testbench, the platform is vivado, simulation test passed.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:2095104
    • 提供者:wenxulyu
  1. i2c_slave_model

    0下载:
  2. I2C从控制器verilog代码,主要用于混合信号ASIC的寄存器配置接口(I2C slave module in verilog)
  3. 所属分类:其他

    • 发布日期:2017-12-19
    • 文件大小:3072
    • 提供者:wafergao
  1. i2c_wishbone.tar

    0下载:
  2. verilog i2c master wishbone slave wrapper
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:4096
    • 提供者:ascensor
  1. i2c_slave

    0下载:
  2. I2C从机模块,支持多种I2C模式,稳定成熟,方便使用。(I2C slave module supports multiple I2C modes, which is stable, mature and convenient to use.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:8192
    • 提供者:fengyuanzyt
« 1 2»
搜珍网 www.dssz.com