CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ieee

搜索资源列表

  1. 802.11-2007

    0下载:
  2. IEEE 802.11 Standard: Wireless LAN Medium Access Control (MAC)and Physical Layer (PHY) Specifications
  3. 所属分类:3G develop

    • 发布日期:2017-05-25
    • 文件大小:8561247
    • 提供者:new_user24
  1. IEEE

    0下载:
  2. IEEE浮点数计算机内二进制表示显示 -IEEE floating-point binary representation inside the computer display floating-point binary representation inside the computer display
  3. 所属分类:ListView-ListBox

    • 发布日期:2017-03-29
    • 文件大小:5624
    • 提供者:程咁魉
  1. MPEG7

    0下载:
  2. MPEG 7压缩算法资料。IEEE标准。 相关会议资料如果。英文描述,对图像压缩感兴趣的可以下载之。-MPEG 7 data compression algorithm. IEEE standard. If the information related meetings. English descr iption of the image compression may be interested in downloading.
  3. 所属分类:Special Effects

    • 发布日期:2017-05-14
    • 文件大小:3793638
    • 提供者:boss liu
  1. Technology_Update_IEEE1588_v2

    0下载:
  2. The paper introduces the concept of IEEE 1588 synchronization mechanisms and explains then in more detail how PTP was enhanced, e.g. by Transparent Clock (TC), peer-to-peer delay measurement, unicast operation, pure layer 2 operation,enhanced accurac
  3. 所属分类:Development Research

    • 发布日期:2017-03-29
    • 文件大小:340607
    • 提供者:liu ysh
  1. cdmaofdm

    0下载:
  2. Basics of OFDM and CDMA. The IEEE 802.11a standard. Physical Layer Challenges. Multipath causes signal fading - constructive and destructive addition.
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:15501
    • 提供者:Amine_z
  1. [DataBus].1149.1

    0下载:
  2. IEEE std 1149.1-2001总线相关资料,是英文的。-1149.1 bus-related information is in English.
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-09
    • 文件大小:1080605
    • 提供者:sunjianing
  1. An_Adaptive_Programming_Model_for_Fault-Tolerant_

    0下载:
  2. An Adaptive Programming Model for Fault-Tolerant Distributed Computing is ieee standard project based on java
  3. 所属分类:Network Security

    • 发布日期:2017-04-06
    • 文件大小:720027
    • 提供者:Rishi
  1. 1588test_result

    0下载:
  2. 本文介绍了IEEE 1588时间同步性能测试方法和测试结果-This article describes the IEEE 1588 time synchronization performance test methods and test results
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:368113
    • 提供者:杨佳
  1. IEEE

    0下载:
  2. IEEE templet code in Matlab with full version
  3. 所属分类:source in ebook

    • 发布日期:2017-04-17
    • 文件大小:41204
    • 提供者:saad
  1. RoutinginZigBee

    0下载:
  2. An IEEE 802.15.4-based Wireless Sensor Network is considered, and the relationship between the IEEE 802.15.4 topology formation mechanism and possible routing strategies at the network layer is studied. Two alternative routing schemes proposed in t
  3. 所属分类:source in ebook

    • 发布日期:2017-03-29
    • 文件大小:172627
    • 提供者:HArry
  1. 5989-8309EN

    0下载:
  2. This application note is intended for engineers developing and testing mobile or subscriber stations (MS or SS) and their components, based on the IEEE 802.16e orthogonal frequency division multiple access (OFDMA) amendment to the 802.16-2004 s
  3. 所属分类:Communication

    • 发布日期:2017-05-12
    • 文件大小:2606392
    • 提供者:Tekaya
  1. MIMO-OFDM-reduction

    0下载:
  2. 本文是一篇在国际期刊IEEE上发表的优秀论文,在MIMO_OFDM上降低峰均比的算法分析-This article is an IEEE published in international journals of outstanding papers in the MIMO_OFDM on the PAPR reduction algorithm analysis
  3. 所属分类:File Formats

    • 发布日期:2017-03-30
    • 文件大小:370632
    • 提供者:xinjianzhou
  1. SD

    0下载:
  2. 3篇IEEE论文,研究球形解码算法的最新文章,希望对大家有帮助-Three IEEE papers, research sphere decoding algorithm the latest articles, want to help everyone
  3. 所属分类:Communication

    • 发布日期:2017-04-10
    • 文件大小:1179020
    • 提供者:王超
  1. PerformancemodellingIEEE802.11

    0下载:
  2. Performance modelling and evaluation of IEEE 802.11 distributed coordination function in multihop wireless networks
  3. 所属分类:Communication

    • 发布日期:2017-03-27
    • 文件大小:367188
    • 提供者:NASSER
  1. ieee-templates

    0下载:
  2. IEEE FORMAT FOR PROJECTS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:28600
    • 提供者:HIMANSHU SINGH
  1. 802.11e-2005

    0下载:
  2. IEEE 802.11e standard specification
  3. 所属分类:software engineering

    • 发布日期:2017-05-09
    • 文件大小:1865971
    • 提供者:cfcheung
  1. 80211

    0下载:
  2. SIM80211 IEEE802 Simulator: a one hop network,simulator to reproduce a cluster of ieee802.11 terminals under saturation conditions. The scr ipt simulates a implified model for a radio network based on the IEEE 802.11 standard operating in DCF modalit
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:6568
    • 提供者:jerry
  1. image_processing_from_ieee

    0下载:
  2. IEEE上的几篇经典的图像增强的论文,很有参考价值。-IEEE on several classic papers of image enhancement, useful reference.
  3. 所属分类:software engineering

    • 发布日期:2017-05-10
    • 文件大小:2267552
    • 提供者:late
  1. spectralclustering-1.1

    1下载:
  2. This directory includes sources used in the following paper: Parallel Spectral Clustering in Distributed Systems Wen-Yen Chen, Yangqiu Song, Hongjie Bai, Chih-Jen Lin, and Edward Chang Accepted by IEEE Transactions on Pattern Analysis and
  3. 所属分类:matlab

    • 发布日期:2016-12-23
    • 文件大小:15807389
    • 提供者:cc
  1. FeatureExtractionUsingConstrained

    0下载:
  2. 人脸识别IEEE 2010论文,feature extraction use constraint approximation and supression-Face Recognition IEEE 2010 paper, feature extraction use constraint approximation and supression
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-17
    • 文件大小:266416
    • 提供者:杜君卓
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 50 »
搜珍网 www.dssz.com