CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ieee

搜索资源列表

  1. ieee

    1下载:
  2. IEEE118节点参数数据及数据表明。文档说明了118节点对应个数据的意义和存储格式。-IEEE 118 node parameter data and data show. The document describes the 118 nodes corresponding to the significance of the data storage format.
  3. 所属分类:Windows Kernel

    • 发布日期:2017-11-06
    • 文件大小:17071
    • 提供者:Ryan Husky
  1. IEEE-Std-1364.1-2002-Verilog-RTL-Synthesys

    0下载:
  2. IEEE Std 1364.1-2002 Verilog RTL Synthesys
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-04
    • 文件大小:380675
    • 提供者:max
  1. IEEE-Std-1364-2001-Verilog-LRM

    0下载:
  2. IEEE Std 1364-2001 Verilog LRM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:2177129
    • 提供者:max
  1. IEEE-Std-1800-2012-SystemVerilog

    0下载:
  2. IEEE Std 1800-2012 SystemVerilog - Unified Hardware Design, Specification, and Verification Language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:6346404
    • 提供者:max
  1. IEEE-Std-1076.6-1999-VHDL-RTL-Synthesis

    0下载:
  2. IEEE Std 1076.6-1999 VHDL RTL Synthesis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:197564
    • 提供者:max
  1. comparison-ieee-802-standards.pdf

    0下载:
  2. IEEE 802 standards comparaison
  3. 所属分类:Communication

    • 发布日期:2017-11-19
    • 文件大小:99250
    • 提供者:bmgh
  1. IEEE-TEST SYSTEM

    0下载:
  2. 幫助有再求解電力潮流的朋友,能夠快速的得到有關ieee 33 bus 和iee 69 bus 的相關資訊!
  3. 所属分类:matlab例程

    • 发布日期:2013-07-04
    • 文件大小:2091
    • 提供者:dtjamem
  1. IEEE steganography papers

    0下载:
  2. ieee steganography papers
  3. 所属分类:文档资料

  1. IEEE-Abbreviations

    1下载:
  2. 写IEEE杂志和会议论文参考文献缩写规范-IEEE Abbreviations for Transactions Journals Letters and Magazines
  3. 所属分类:File Formats

    • 发布日期:2017-03-24
    • 文件大小:78955
    • 提供者:刘义鹏
  1. IEEE-2013-power

    0下载:
  2. IEEE 2013 base papers on power electronics
  3. 所属分类:Project Design

    • 发布日期:2017-05-28
    • 文件大小:10865415
    • 提供者:ibbu
  1. IEEE-118-system-unit-data

    1下载:
  2. IEEE 118 Bus Data for Load flows,OPF and Unit commitment
  3. 所属分类:Document

    • 发布日期:2017-03-25
    • 文件大小:50243
    • 提供者:harikiran86
  1. IEEE 1588 PTP 2008 源码

    0下载:
  2. IEEE 1588 ptp 源码 能够实现1588 V2版本协议的基本功能,希望能给大家带来帮助
  3. 所属分类:驱动编程

  1. powerflow-IEEE

    0下载:
  2. 电力系统潮流计算程序(极坐标、带IEEE算例),内含14,,3,118节点的数据。-Power flow calculation program (polar coordinates with IEEE numerical example), containing 14 data 3,118 nodes.
  3. 所属分类:Energy industry

    • 发布日期:2017-04-05
    • 文件大小:9591
    • 提供者:zqd
  1. IEEE-bpa-eurostag-th

    0下载:
  2. IEEE bpa eurostag th格式潮流计算数据及其说明,在潮流计算中会用到-IEEE bpa eurostag th flow calculation data format and instructions, will be used in the flow calculation
  3. 所属分类:Energy industry

    • 发布日期:2017-05-10
    • 文件大小:2132271
    • 提供者:zqd
  1. IEEE-802.15.7-VLC

    0下载:
  2. IEEE802.15.7定义可见光通信的标准,主要是对调制方案和调光支持的研究。-IEEE 802.15.7 provides dimming adaptable mechanisms for flicker-free high-data-rate visible light communication.
  3. 所属分类:Development Research

    • 发布日期:2017-03-26
    • 文件大小:314421
    • 提供者:易利
  1. ARQUITECTURA-DE-REDES-IEEE

    0下载:
  2. IEEE Network Architecture
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:204540
    • 提供者:Sergio
  1. Ieee-Guide-For-Computer-Based-Control-For-Hydroel

    0下载:
  2. IEEE Guide for Computer-Based Control for Hydroelectric Power Plant Automation
  3. 所属分类:File Formats

    • 发布日期:2017-04-05
    • 文件大小:403736
    • 提供者:Marko
  1. S11-IEEE-IEC-ComparisonDocument

    0下载:
  2. Comparison of IEEE and IEC Grounding methods
  3. 所属分类:Document

    • 发布日期:2017-04-09
    • 文件大小:201677
    • 提供者:M Razaq
  1. newest-IEEE-power-quality-standard

    0下载:
  2. 最新IEEE电能质量标准IEEE Std1459-2010 Power Quality Measurement Under Non-Sinusoidal Condition -IEEE Std1459-2010 Power Quality Measurement Under Non-Sinusoidal Condition
  3. 所属分类:Development Research

    • 发布日期:2017-04-01
    • 文件大小:517762
    • 提供者:hsf
  1. 2012-IEEE-PAPERS

    0下载:
  2. Latest power electronics ieee papers-Latest power electronics ieee papers...
  3. 所属分类:matlab

    • 发布日期:2017-05-23
    • 文件大小:7054759
    • 提供者:ASHOK KUMAR
« 1 2 ... 16 17 18 19 20 2122 23 24 25 26 ... 50 »
搜珍网 www.dssz.com