CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - led 串口

搜索资源列表

  1. master

    0下载:
  2. 数控流水灯,键进行内容选择,16个按键分别为0~F,按下一个按键,在主机板子上的数码管上显示相应的值,并通过异步串行通信的方式将按键内容发给从机。异步串行通信的要求:不能使用芯片自带的串口,要求用除P3.0和P3.1外的任何IO管脚进行模拟发送,速度是2400波特率。-CNC water lights, choice of content keys, 16 keys are 0 ~ F, press a button on the motherboard LED sub display the
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1068
    • 提供者:叶蓬
  1. TQ2440_bare_metal_test_program_source_code

    0下载:
  2. 基于TQ2440(ARM9)开发板的裸机实验程序(中断,触摸屏,LED,Nand Flash,PWM,串口等裸机实验程序)-Based on TQ2440 (ARM9) Development Board bare experimental procedures (interrupt, touch screen, LED, Nand Flash, PWM, serial and other bare metal experimental procedure)
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-09
    • 文件大小:1118191
    • 提供者:Noah
  1. Example

    0下载:
  2. 51单片机程序实例集合,包含蜂鸣器,LED,液晶屏,串口通信,can总线,usb总线等等,好东西-Collection of 51 single-chip application instance, a good thing
  3. 所属分类:SCM

    • 发布日期:2017-05-14
    • 文件大小:3527726
    • 提供者:sc88cs
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
  1. Exp7_AD

    0下载:
  2. 实验实现对实验箱上的三路A/D通道进行采样,并将采样结果通过串口送超级终端显示。除了将采样结果送超级终端显示外,还必须在LED上显示,按1键,显示第一路的采样结果;按2键,显示第二路的采样结果;按3键,显示第三路的采样结果。在最左端的LED上显示1 or 2 or 3,表示A/D通道数,结果靠右显示。键盘只有1,2,3三个键有效,其他键无效。-Experimental realization of the experimental box on the three-way A/D channel
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:280995
    • 提供者:hfy
  1. mcu_tools

    0下载:
  2. 一个功能强大的MCU 调试工具,包括电阻计算, 串口调试,串口监视,LED编码,还是比较全面,详细的。-a useful tools for MCUS,it includes many things ,for example,resistor calculation,series port debug, and LED encoder.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:443901
    • 提供者:韩伟
  1. chuanxingtongxin

    0下载:
  2. 串口通信 发出什么,在屏幕上接收什么,并且对应LED灯亮-Serial communication program
  3. 所属分类:Com Port

    • 发布日期:2017-04-11
    • 文件大小:919
    • 提供者:董飞
  1. chuankoukongzhicaidengchengxu

    0下载:
  2. 通过电脑串口控制51单片机对应的I/O驱动LED,实现电脑控制-Microcontroller through the computer 51 corresponding serial control I/O drive LED, computer-controlled to achieve
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:16251
    • 提供者:王伟
  1. VBkongzhiled

    0下载:
  2. 使用VB编程通过串口控制单片机的I/O对应的LED亮和灭-Control of VB programming using microcontroller through the serial port I/O and the corresponding LED light off
  3. 所属分类:Com Port

    • 发布日期:2017-04-08
    • 文件大小:12672
    • 提供者:王伟
  1. comm_IO_port.tar

    0下载:
  2. Linux2.6.36内核环境下一个简单的通用IO端口读写驱动,支持多个应用程序同时读写。包含驱动编译的makefile,应用层测试程序和使用说明。驱动程序包含了详细注释,便于学习。apps_cmos.c是读取cmos时间的应用程序;在串口的4、7针上接LED,执行apps_serial.c可以观察到LED的闪烁。-A common driver for reading and writing the IO port under the kernel version of 2.6.36.Make
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-04
    • 文件大小:6989
    • 提供者:liujingsong
  1. shuxianbiao

    0下载:
  2. 多功能数显表 本毕业设计的设计任务为一个多功能数显表。该数显表可以测量和显示气压、冲击频率和耗气量。并且具有RS232接口和时间显示。本毕业设计作品选用AK-4气压传感器和LWGY涡轮流量传感器,通过MCS-51单片机来控制操作,实现信号数据的采集、处理和发送。本毕业设计作品不仅可以将气压、冲击频率、耗气量及时间等数据显示在8个LED数码管上,还会通过RS232串口,将数据发送到PC上。并由PC上的配套程序,将信息数据显示在显示器上,这两部分所显示的数据是完全相同的。其中数字显示时间单位为秒
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:794835
    • 提供者:严二伟
  1. receive-and-show

    0下载:
  2. 通过串口接收数据并通过LED显示出来。很完整的代码,已经实际应用过,比较简单,包括了定时,延时,按键等,代码说明也写的很详细-Receive the data through serial interface and show it by led
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:95319
    • 提供者:周颖
  1. S8_test

    0下载:
  2. 本程序用来测试开发板上所有的设备。 1、VGA输出8位色彩的条纹; 2、PS/2键盘输入字符可以传输到LCD和串口调试终端上; 4、拨码与按键开关与4位LED相连-This procedure used to test all the equipment on the development board. 1, VGA output 8-bit color stripes 2, PS/2 keyboard input characters can be transmitted to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:292828
    • 提供者:成语
  1. controling-of-lights

    0下载:
  2. 下位机通过串口接收数据并降该数据作为switch语句变量对应到不同的函数产生相应的现象,例如当按下全亮按钮时,通过下位机程序控制LED灯使LED灯全亮。 当鼠标勾选单灯控制区复选按钮时,同理通过串口传输数据,相应的LED点亮,取消勾选后该灯熄灭。 当鼠标点击流水灯控制区某个单选按钮时,上位机将数据通过串口送至下位机,下位机根据数据相应调节流水灯延时函数变量,完成控制流水灯速度的功能。 -Lower computer through the serial port to receive
  3. 所属分类:Com Port

    • 发布日期:2017-04-06
    • 文件大小:2343
    • 提供者:William
  1. msc51cyuyan

    0下载:
  2. mcs51 单片机的众多c语言代码,包括:流水灯,矩阵键盘,led灯,液晶显示,步进电机,串口测试,温度显示等。-mcs51 c language code number of the microcontroller, including: water lamp, matrix keyboards, led lights, LCD, stepper motor, serial test, the temperature display.
  3. 所属分类:SCM

    • 发布日期:2017-06-12
    • 文件大小:19863524
    • 提供者:dada
  1. CC2430-base

    0下载:
  2. CC2430基础程序,如定时器中/外部中断/片内温度、单片机串口发数、在PC用串口控制LED、在PC用串口收数并发数串口时钟PC显示、系统睡眠工作状态、系统唤醒、睡眠定时器的使用、看门狗模式-CC2430 based programs, such as timers/external interrupt/chip temperature, microcontroller serial number issued in the PC with a serial control LED, debt
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:439571
    • 提供者:
  1. max7219

    0下载:
  2. 51单片机平台上的MAX7219串口六位数码管驱动函数,分享给感兴趣的朋友。使用时只需将头文件直接加载后直接调用,移植性特别好-51 port MCU platform MAX7219 LED driver function six share to interested friends. Simply use the header file is loaded directly called directly, particularly good portability
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:923
    • 提供者:xq
  1. chuankou

    0下载:
  2. 单片机串口控制,实现单片机和PC机的通信过程,单片机发送数字给PC机 ,PC机接受数据,并且显示在用VB所做的界面上。-SCM LED water lamp
  3. 所属分类:Com Port

    • 发布日期:2017-04-14
    • 文件大小:2865
    • 提供者:好人
  1. experimental-infrared-transceiver

    0下载:
  2. DP_51PRO实验仪红外收发实验。使用串口发送数据经调制后从红外管输出,并通过红外接收模块把接收到的数据返回串口接收端,通过判断接收到的数据来控制LED灯点亮。-DP_51PRO experimental instrument experimental infrared transceiver. After using the serial port to send data modulated output from the infrared control and infrared rec
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:3786
    • 提供者:xiaoxia
  1. 123

    0下载:
  2. 串口发送任意字符到单片机 单片机通过P0口LED灯显示-Serial port to send any character to the MCU MCU LED lights show through the P0 port
  3. 所属分类:SCM

    • 发布日期:2017-05-01
    • 文件大小:561721
    • 提供者:何欢
« 1 2 ... 16 17 18 19 20 2122 23 24 25 26 ... 41 »
搜珍网 www.dssz.com