CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - moore

搜索资源列表

  1. moer

    1下载:
  2. 摩尔庄园辅助的源码,可以做摩尔庄园辅助的研究或自己使用-Moore Manor auxiliary source, Moore Park can do the research or their own use of auxiliary
  3. 所属分类:Game Hook Crack

    • 发布日期:2017-03-31
    • 文件大小:943277
    • 提供者:zzy
  1. moerwg

    0下载:
  2. 摩尔外挂源码,从别处转载的。喜欢的就下吧 -Moore external source, reproduced elsewhere. Like La La La La La La on the next bar la la la
  3. 所属分类:Game Hook Crack

    • 发布日期:2017-05-02
    • 文件大小:895273
    • 提供者:wefwef
  1. 2

    0下载:
  2. 这是摩尔庄园外挂的源码,请大家看一下,需要一些模块,请大家自行查找下载-This is the Moore Park external source, please take a look, take some modules, please find the download on their own
  3. 所属分类:Game Hook Crack

    • 发布日期:2017-03-27
    • 文件大小:155164
    • 提供者:李时远
  1. moore_in_and_mealy_out_state_machine

    0下载:
  2. 此程序为带摩尔输入、米勒输出状态的状态机控制部分-This procedure with Moore for input, Miller output state control of some of the state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1012
    • 提供者:zhaohongliang
  1. diyabiao

    0下载:
  2. moore状态机~~~ 用vhdl语言实现-moore state machine ~ ~ ~ using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:14455
    • 提供者:黎明
  1. VHDL

    0下载:
  2. 状态机及其VHDL设计,详细介绍了状态机的基本结构、功能和分类,以及有限状态机的一般设计思路与方法、状态机编码方案的恰当选取、Moore和Mealy状态机的本质区别及设计实现-State machine and the VHDL design, described in detail the basic structure of state machines, function and classification, as well as finite state machine of the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:73047
    • 提供者:史东寒
  1. zhuangtaiji

    0下载:
  2. 有限状态机及其设计技术是实用数字系统设计中的重要组成部分,也是实现高效可靠逻辑控制的重要途径,本程序为单进程moore型有限状态机底层设计源代码.-This procedure as a single process moore-type finite state machine underlying the design of the source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:30059
    • 提供者:谭海洋
  1. murmatlab

    0下载:
  2. 摩尔边界的源码在计算电磁学中有很大应用大家好好学习-Moore border in the calculation of electromagnetic source in the study have significant application study hard everyone! ! !
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:940
    • 提供者:郑轩
  1. mtlabpml

    0下载:
  2. 摩尔边界的源码在计算电磁学中有很大应用,matlab源码,大家好好学习-Moore border in the calculation of electromagnetic source has great application in the study, matlab source, study hard everyone! ! !
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:3564
    • 提供者:郑轩
  1. drinkmc

    0下载:
  2. cold-drink machine moore type
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-05-03
    • 文件大小:772755
    • 提供者:mohit
  1. zhuangtaiji

    0下载:
  2. 十种状态机例子(VHDL)包括米勒型和莫尔型的状态机。-Dozens of examples of state machine (VHDL), including Miller and Moore type state machine.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5537
    • 提供者:张先锋
  1. BOYER04

    0下载:
  2. Fast String Search Algorithm (Boyer) for Windows/C Programmer
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-03
    • 文件大小:42145
    • 提供者:ibraheem Ali
  1. bm

    0下载:
  2. BM可以说是继KMP算法之后更加 优秀的字符串匹配算了,BM 是大师Boyer-Moore的算法杰作, 所以称BM算法, 相比KMP算法效率提高了不少, -BM can be said that after following the KMP algorithm for string matching better forget, BM is the master of the Boyer-Moore algorithm masterpiece, so that BM algorit
  3. 所属分类:Data structs

    • 发布日期:2017-04-02
    • 文件大小:1380
    • 提供者:li shu
  1. state_machine_design

    0下载:
  2. 这是讲解状态机的一个资料,里面讲解了摩尔和米勒状态机的设计实例,很详细且有实例。-This is a state machine on the information, which Moore and Miller explained the design of state machine instances, and there are examples of very detailed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:481840
    • 提供者:maylag_1
  1. STRING

    0下载:
  2. 介紹了knuth-morris-pratt方法與化簡了的boyer-morre方法,這兩個方法在尋找字串中是否有一個特定的字串時有相當傑出的表現-Introduced knuth-morris-pratt methods and simplification of the boyer-morre method, the two methods in the search string if the string has a specific time to time the performanc
  3. 所属分类:Data structs

    • 发布日期:2017-04-02
    • 文件大小:14058
    • 提供者:c2535103
  1. CellAuto

    0下载:
  2. 元胞自动机的虚拟程序 开发工具:Microsoft Visual Basic 6.0 运行平台:Windows OS (VB编译,代码未优化,运行速度较慢) 词语解释: CA,cellular automata,元胞自动机,或称细胞自动机。 模式(Pattern),多个细胞构成的一个状态组合。 模型: 维度,二维四方网格 细胞,两种状态(活、死);一个方格代表一个细胞。 邻居,Moore型(上、下、左、右、左上、右上、左下、
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-03-29
    • 文件大小:106818
    • 提供者:iDo4LT
  1. example2

    0下载:
  2. moore状态机程序 一共有四个状况,空闲 idle 等待 ready 信号准备好后进入判决状态 decision 否则继续等待 ready信号;判决状态 decision 中将 oe、we 信号置低,同时根据read_write 判定下一个状态是读状态 read 还是写状态 write;如果 read_write 为‘1’读状态 read,否则写状态write;读状态将oe 置高,we 置低;写状态将 oe 置低,we 置高。-moore state machine processes a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:31471
    • 提供者:panda
  1. inverse

    0下载:
  2. 所属分类:software engineering

    • 发布日期:2017-04-06
    • 文件大小:759
    • 提供者:cako_84
  1. sdmrbeh

    0下载:
  2. This code implements the behavioral modelling of a Moore type sequence detector to detect the sequence 1010. The code is a quartus project file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1095
    • 提供者:sidd
  1. moore

    0下载:
  2. mooor状态机的VHDL程序,代码,状态机,关键是分析各个状态之间的切换-mooor zhuangtaiji zhuagtaiji guanjianshi gege zhuangtai zhijian de qiehuan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:188830
    • 提供者:asd
« 1 2 3 45 6 7 8 9 10 »
搜珍网 www.dssz.com