CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - moore machine

搜索资源列表

  1. mo0re_FSM

    0下载:
  2. -- Moore State Machine with explicit state encoding -- dowload from: www.fpga.com.cn & www.pld.com.cn--- Moore State Machine with explicit state encoding -- dowload from : www.fpga.com.cn
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:941
    • 提供者:罗兰
  1. vhdl_model.rar

    0下载:
  2. VHDL实例,各个方面均有,基本语法,状态机,汉明码,寄存器,步进电机控制器,表决器,多路选择器,译码器等等,VHDL model,include: basic grammer,moore mealy state machine,register,counter,multi,decoder,et..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:50510
    • 提供者:Rainer
  1. example2.rar

    0下载:
  2. 状态机一般分为三种类型:Moore型、Mealy型和混合型。此程序描述了Moore型状态机的基本构成,并配以波形仿真。,State machine will generally be divided into three types: Moore-type, Mealy-type and mixed type. This procedure describes the state machine of the Moore-type basic component, and with simula
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:25164
    • 提供者:zzl
  1. moore_in_and_mealy_out_state_machine

    0下载:
  2. 此程序为带摩尔输入、米勒输出状态的状态机控制部分-This procedure with Moore for input, Miller output state control of some of the state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1012
    • 提供者:zhaohongliang
  1. diyabiao

    0下载:
  2. moore状态机~~~ 用vhdl语言实现-moore state machine ~ ~ ~ using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:14455
    • 提供者:黎明
  1. VHDL

    0下载:
  2. 状态机及其VHDL设计,详细介绍了状态机的基本结构、功能和分类,以及有限状态机的一般设计思路与方法、状态机编码方案的恰当选取、Moore和Mealy状态机的本质区别及设计实现-State machine and the VHDL design, described in detail the basic structure of state machines, function and classification, as well as finite state machine of the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:73047
    • 提供者:史东寒
  1. zhuangtaiji

    0下载:
  2. 有限状态机及其设计技术是实用数字系统设计中的重要组成部分,也是实现高效可靠逻辑控制的重要途径,本程序为单进程moore型有限状态机底层设计源代码.-This procedure as a single process moore-type finite state machine underlying the design of the source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:30059
    • 提供者:谭海洋
  1. drinkmc

    0下载:
  2. cold-drink machine moore type
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-05-03
    • 文件大小:772755
    • 提供者:mohit
  1. zhuangtaiji

    0下载:
  2. 十种状态机例子(VHDL)包括米勒型和莫尔型的状态机。-Dozens of examples of state machine (VHDL), including Miller and Moore type state machine.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5537
    • 提供者:张先锋
  1. state_machine_design

    0下载:
  2. 这是讲解状态机的一个资料,里面讲解了摩尔和米勒状态机的设计实例,很详细且有实例。-This is a state machine on the information, which Moore and Miller explained the design of state machine instances, and there are examples of very detailed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:481840
    • 提供者:maylag_1
  1. example2

    0下载:
  2. moore状态机程序 一共有四个状况,空闲 idle 等待 ready 信号准备好后进入判决状态 decision 否则继续等待 ready信号;判决状态 decision 中将 oe、we 信号置低,同时根据read_write 判定下一个状态是读状态 read 还是写状态 write;如果 read_write 为‘1’读状态 read,否则写状态write;读状态将oe 置高,we 置低;写状态将 oe 置低,we 置高。-moore state machine processes a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:31471
    • 提供者:panda
  1. FSM

    0下载:
  2. 这是用verilog硬件描述语言编的moore状态机代码-It is compiled verilog hardware descr iption language moore state machine code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:607
    • 提供者:李松
  1. CIC_Moore

    0下载:
  2. It is a complete project of Cache Interface Controller programmed in VHDL using the logic of Moore State Machine
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-19
    • 文件大小:361072
    • 提供者:Mr J
  1. VHDL2

    0下载:
  2. 一个关于VHDL的moore状态机的程序,让你了解状态机的运行方法。-One on the moore state machine VHDL procedures so that you understand the operation of the state machine approach.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:693
    • 提供者:wyb
  1. VHDL_statemachine

    0下载:
  2. MOORE 和MEALY模型的状态机,用VHDL语言描述,本章讲述状态机实现的原理以及方法,希望对大家有用,同时有练习题和思考题-MOORE and MEALY model state machine, using VHDL language descr iption of the state machine implementation of this chapter describes the principle and method, we want to be useful, while
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:330594
    • 提供者:heguo
  1. Miller

    0下载:
  2. 带莫尔_米勒输出的状态机 用于设计一些特殊功能的程序使用-Miller with Moore state machine output
  3. 所属分类:Document

    • 发布日期:2017-04-06
    • 文件大小:638
    • 提供者:王明
  1. moore

    1下载:
  2. FPGA实现moore状态机,适合新手学习,开发环境Q2-FPGA implementation moore state machine, suitable for novice learning, development environment Q2
  3. 所属分类:VHDL编程

    • 发布日期:2017-06-10
    • 文件大小:117134
    • 提供者:lishh
  1. moore

    0下载:
  2. 一个简单的检测101序列的摩尔型状态机,里面包括了testbench的源代码。-A simple detection of 101 sequences of the mole state machine, which includes the testbench source code.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:2799
    • 提供者:张宇晴
  1. Vending-Machine-using-Moore

    0下载:
  2. Vending Machine simulation using Moore sequence
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:45669
    • 提供者:Japerski
  1. 4bit_moore

    0下载:
  2. Moore machine is state machine whose output is a function of only the current state.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:6144
    • 提供者:liki20
« 1 23 4 »
搜珍网 www.dssz.com