CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - msk 解调

搜索资源列表

  1. msk

    0下载:
  2. 2MSK调制解调 双极性信号输入 产生简单直观的误码率图-2MSK modem Bipolar signal input to a simple and intuitive diagram of bit error rate
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:1069
    • 提供者:舒亮
  1. msk

    2下载:
  2. msk的matlab调制与解调仿真,使用延时相干解调方式,包含差分编码与解码模块-modulation and demodulation of msk of Matlab simulation, delay Demodulation, including differential encoding and decoding modules
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:3346
    • 提供者:judeliu
  1. MSK

    0下载:
  2. MSK调制解调程序,加入高斯白噪声,使用matlab编写,绝对原创-MSK modulation and demodulation process, adding white Gaussian noise using matlab absolute originality
  3. 所属分类:3G develop

    • 发布日期:
    • 文件大小:1207
    • 提供者:赵兵兵
  1. msk-simulation-MATLAB-program

    0下载:
  2. 完整msk的调制解调信道误码率仿真MATLAB程序-Complete msk modulation and demodulation bit error rate simulation MATLAB program
  3. 所属分类:Project Design

    • 发布日期:
    • 文件大小:167937
    • 提供者:wenbing
  1. SCCPM_MSK_DO

    2下载:
  2. 卷积码和cpfsk组成的sccpfsk,采用logmap算法,包括msk解调等-The the convolutional code, composed and cpfsk sccpfsk, using logmap algorithm, including msk demodulation
  3. 所属分类:其他小程序

    • 发布日期:2014-01-13
    • 文件大小:75695
    • 提供者:洋洋
  1. MSK

    1下载:
  2. 最小移频键控(MSK)调制解调技术的原理及应用分析-Minimum Shift Keying (MSK) modulation and demodulation technology principle and application analysis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-04-23
    • 文件大小:152576
    • 提供者:xzq
  1. MSK

    0下载:
  2. 学会使用MATLAB仿真软件搭建MSK调制解调仿真电路建立MSK调制解调系统仿真模型-Learn how to use MATLAB simulation software to build the MSK modulation and demodulation simulation circuit MSK modulation and demodulation system simulation model
  3. 所属分类:matlab

    • 发布日期:2017-11-15
    • 文件大小:1081344
    • 提供者:云龙
  1. msk

    0下载:
  2. msk与gmsk调制解调的仿真程序,仿真结束后将结构写入文件。-structure simulation of msk and gmsk modem program, the end of the simulation will write to the file.
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-11-20
    • 文件大小:6338
    • 提供者:raven
  1. MSK

    0下载:
  2. 由MSK调制方式所产生信号的调制以及解调程序-MSK modulation signal generated by modulation and demodulation process
  3. 所属分类:Communication

    • 发布日期:2017-11-17
    • 文件大小:19218
    • 提供者:俊喜
  1. MSK

    0下载:
  2. 该程序主要是完成MSK调制解调的这样一个过程。-The program mainly completes the process of modulation and demodulation of MSK.
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:6865
    • 提供者:qingqing
  1. MSK

    1下载:
  2. msk的调制解调,包含调制解调过程中信号、功率谱的图、调制后的对比图-msk modulation and demodulation process, including modulation and demodulation signal, the power spectrum of FIG modulated contrast FIG.
  3. 所属分类:matlab

    • 发布日期:2017-03-21
    • 文件大小:4234
    • 提供者:freeheart
  1. MATLAB-of-MSK

    0下载:
  2. msk的调制与解调 是本人自己作品 有不到之处 还请多多指教-failed to translate
  3. 所属分类:File Formats

    • 发布日期:2017-12-01
    • 文件大小:597177
    • 提供者:陈胜
  1. msk

    0下载:
  2. msk调制解调过程源程序,包括二进制信号、msk信号、msk调制解调信号,以及频谱图-msk modulation and demodulation process source code, including binary signal, msk signal, msk modulation and demodulation of signals, and spectrum
  3. 所属分类:matlab

    • 发布日期:2017-11-12
    • 文件大小:2258
    • 提供者:吴非
  1. MSK.ZIP

    0下载:
  2. msk波形调制解调详细方案,代码加说明,非常详细,非常有用-msk modulation and demodulation waveform detailed program code plus instructions, very detailed, very useful
  3. 所属分类:Communication

    • 发布日期:2017-11-15
    • 文件大小:892
    • 提供者:fengium
  1. A-Decomposition-Approach-to-CPM

    2下载:
  2. 有关matlab中msk解调的参考文献,该文献解释了msk的调制和解调函数:dmod、ddemod-For demodulation in matlab msk references, this document explains msk modulation and demodulation functions: dmod, ddemod
  3. 所属分类:Communication

    • 发布日期:2017-03-23
    • 文件大小:841869
    • 提供者:Wang zhuoran
  1. MSK

    0下载:
  2. 本程序给出了MSK的matlab调制解调仿真-This procedure gives the MSK modulation and demodulation matlab simulation
  3. 所属分类:matlab

    • 发布日期:2017-04-09
    • 文件大小:3790
    • 提供者:笑泪夏
  1. msk

    2下载:
  2. msk调制解调 还没有加载波 用的差分解调 希望你们能用到-msk modulation and demodulation
  3. 所属分类:matlab

    • 发布日期:2017-04-26
    • 文件大小:171477
    • 提供者:钟旭东
  1. MSK

    0下载:
  2. MSK调制解调 通信系统的仿真,随机产生二进制序列,进行差分编码,再进行MSK调制解调-MSK modulate with MATLAB
  3. 所属分类:matlab

    • 发布日期:2016-06-05
    • 文件大小:2048
    • 提供者:卓飞
  1. MSK

    0下载:
  2. 该文档主要实现matlab中的msk调制解调功能,广泛运用于现代数字通信中-The document is mainly achieved in the matlab msk modulation and demodulation functions, widely used in modern digital communications
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:2373
    • 提供者:李东瑾
  1. MSK

    0下载:
  2. 基于matlab/m文件的MSK的调制与解调仿真-MSK modulation and demodulation based simulation matlab/m file
  3. 所属分类:Communication

    • 发布日期:2017-05-06
    • 文件大小:1045320
    • 提供者:朱明斯
« 1 2 3 45 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com