CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - pn

搜索资源列表

  1. BLIND-ESTIMATION

    0下载:
  2. 关于直接序列扩频伪码序列的盲估计。运用奇异值分解算法来进行估计。-The blind estimated direct sequence spread spectrum PN sequences .
  3. 所属分类:Communication

    • 发布日期:2017-11-20
    • 文件大小:251365
    • 提供者:尹落尘
  1. 1

    0下载:
  2. 直序列扩频 伪随机码分多种,pn,gold,正交gold-Direct sequence spread spectrum pseudo-random code division multiple, pn, gold, orthogonal gold
  3. 所属分类:matlab

    • 发布日期:2017-11-26
    • 文件大小:2006
    • 提供者:huiyuan
  1. Polynomial-evaluator

    0下载:
  2. 设有两个多项式Pn(x)和Qm(x),设计算法实现Pn(x)+Qm(x)和Pn(x)*Qm(x)。-Has two polynomials Pn (x) and Qm (x), the design algorithm PN (x)+ Qm of (x) and Pn (x)* QM (x).
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:814
    • 提供者:高伟
  1. pn-rangamizi

    0下载:
  2. 4 color in genetics algorithm with c#
  3. 所属分类:CSharp

    • 发布日期:2017-12-06
    • 文件大小:748497
    • 提供者:sepideh sal
  1. DTMB-detection-of-mode-

    0下载:
  2. 介绍了一种可在较短时间内检测到数字电视国标系统工作模式的方法。该方法利用帧头PN序列和PN序列扩展保护部 分的相关特性、循环特性以及0FDM信号部分的周期特性进行判决,可达到很好的检测效果,该方法同时可加入跟踪模块对系统 工作模式的突然改变作出反应。还介绍了两种可以在硬件资源和性能上进行折中的检测方法-Describes a method can be detected in a relatively short period of time to a digital televis
  3. 所属分类:Communication

    • 发布日期:2017-11-17
    • 文件大小:234397
    • 提供者:liuweiwei
  1. 基于FPGA直接序列扩频系统的设计

    1下载:
  2. 针对一般无线通信系统抗干扰、抗噪声以及抗多径性能力差的缺点,提出了一种基于FPGA 的直接序列 扩频系统设计。该设计采用63 位的pn 码作为扩频调制的码序列,在发送端,对信息码进行扩频调制; 在接收端,对 收到的扩频调制信号进行解扩,增强了系统的抗干扰性和可靠性。同时在Altera 公司的Quartus II 软件中,使用硬件描 述语言VHDL 和原理图相结合的方法进行了电路的设计实现。通过把电路下载到Altera 公司的CycloneIII 的 EP3C10E144C8N 芯片中调试
  3. 所属分类:文件格式

    • 发布日期:2013-02-18
    • 文件大小:468566
    • 提供者:ymlhhb
  1. Infocom11_LongPNCode_Fu

    0下载:
  2. In this paper, we investigate unidentified crimes committed through anonymous communication networks. We developed a long Pseudo-Noise (PN) code based Direct Sequence Spread Spectrum (DSSS) flow marking technique for invisibly tracing suspect
  3. 所属分类:Communication

    • 发布日期:2017-11-24
    • 文件大小:580757
    • 提供者:m
  1. pnsequence.v

    0下载:
  2. pn sequence generator in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-09
    • 文件大小:871
    • 提供者:pavanteja
  1. mapbasic-tools

    1下载:
  2. mapbasic编写完整网优工具,用于TD网络优化 有频点查询 小区PN码显示等功能-mapbasic write a complete network optimization tools
  3. 所属分类:GIS program

    • 发布日期:2017-11-15
    • 文件大小:25485
    • 提供者:mayan
  1. pathing-planning-GA

    0下载:
  2. 用遗传算法实现对机器人的路径规划,取各障碍物顶点连线的中点为路径点,相互连接各路径点,将机器人移动的起点和终点限制在各路径点上,利用最短路径算法来求网络图的最短路径,找到从起点P1到终点Pn的最短路径。-Robot path planning using genetic algorithms, taking the midpoint of each obstacle vertex path points interconnected path the robot to move the star
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-11-19
    • 文件大小:67775
    • 提供者:郭美亭
  1. njielerangduoxiangshi

    1下载:
  2. 7、 计算n 阶勒让德多项式 编写C++程序完成以下功能: (1) 提示用户输入整数n和实数x; (2) Pn(x),并输出结果。-Calculated n order Legendre polynomial to write C++ program to complete the following functions: (1) prompts the user to enter an integer n and real number x (2) Pn (x), and
  3. 所属分类:Other windows programs

    • 发布日期:2015-03-25
    • 文件大小:1024
    • 提供者:
  1. zadoff

    1下载:
  2. Zadoff chu PN sequence generation and testing its properties
  3. 所属分类:source in ebook

    • 发布日期:2016-01-29
    • 文件大小:1024
    • 提供者:Vanita
  1. slice_ChinaPN

    2下载:
  2. matlab noaa海洋数据 分析各个海区的温度 用matlab做任意经纬度不同深度的切片 例子是东海PN断面-The various sea temperature matlab noaa ocean data analysis using matlab do any different latitude and longitude depth slices examples PN section in the East China Sea
  3. 所属分类:matlab

    • 发布日期:2017-11-12
    • 文件大小:1229
    • 提供者:任惠茹
  1. mxu

    0下载:
  2. 直接序列扩频Matlab程序 直接序列扩频通信可以有效地抵抗来自信道中的窄带干扰。在一个直扩通信系统中,扩频是通过伪噪声序列(PN)对发送的信息数据进行调制来实现 的。在接收端,原伪噪声序列和所收信号的相关运算可将窄带干扰扩展到DS信号的整个频带,使干扰等效为幅度较低频谱较平坦的噪声;同时,将DS信号解扩, 恢复原始信息数据-Direct Sequence Spread Spectrum Matlab program direct sequence spread spectrum comm
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-18
    • 文件大小:7820
    • 提供者:莫远
  1. sort

    0下载:
  2. 一个实验室里有n个长短不一的试管。你的任务是编写一段程序,用机器臂把它们按照高度从小到大的顺序排列。对于高度相同的试管,排序前后的相对位置应保持不变。排序方法如图所示。 排序需要n次操作,其中第i次操作是反转序列i~Pi,其中Pi是目标状态中第i个试管当前所在的位置。比如,在上图中,初始时P1=4,因此反转试管1~4就能把最左边的试管归位。类似地,第2次操作前P2=6,因此反转2~6就能把左数第2个试管归位。 你的任务是输出P1,P2,…,Pn的值,以便控制机器臂移动。注意i=P
  3. 所属分类:CSharp

    • 发布日期:2017-11-22
    • 文件大小:7024
    • 提供者:何安
  1. a

    0下载:
  2. 在数论,对正整数n,欧拉函数是少于或等于n的数中与n互质的数的数目。 φ函数的值 通式:φ(x)=x(1-1/p1)(1-1/p2)(1-1/p3)(1-1/p4)…..(1-1/pn) 其中p1, p2……pn为x的所有质因数,x是不为0的整数。φ(1)=1(唯一和1互质的数就是1本身)。 (注意:每种质因数只一个。比如12=2*2*3  那么φ(12)=12*(1-1/2)*(1-1/3)=4)  若n是质数p的k次幂,φ(n)=p^k-p^(k-1)=(p-1)p^(k-1),因为除了
  3. 所属分类:Algorithm

    • 发布日期:2017-11-18
    • 文件大小:756
    • 提供者:koko
  1. dsss

    0下载:
  2. 扩频通信 dsss 基带信号与PN码异或成为扩频码-Spread spectrum communication DSSS baseband signal with a PN code isobutyl or become spread code
  3. 所属分类:matlab

    • 发布日期:2017-11-23
    • 文件大小:592
    • 提供者:盖小圈
  1. pn_dopple

    0下载:
  2. PN码同步仿真实验 伪随机序列 m序列的扩频仿真,里面有详细的参数设置,模块搭建-PN code synchronization simulation pseudo-random sequence of m-sequence spread spectrum simulation, which has detailed parameter settings, the module structures
  3. 所属分类:matlab

    • 发布日期:2017-11-24
    • 文件大小:52721
    • 提供者:胡凯
  1. qwe

    0下载:
  2. FFT测量相位具体算法。下面的程序里Pn 存的就是基波相位 如果求的是谐波相位,稍微修改即可-FFT measurement phase specific algorithm. The following program Pn memory is fundamental phase, harmonic phase, a slight modification can be
  3. 所属分类:Compiler program

    • 发布日期:2017-12-02
    • 文件大小:10537
    • 提供者:万波
  1. possion_pn

    3下载:
  2. 利用泊松方程得到PN结热平衡下的电势分布-Poisson equation PN junction thermal equilibrium electrical potential distribution
  3. 所属分类:matlab

    • 发布日期:2015-07-24
    • 文件大小:49152
    • 提供者:田小新
« 1 2 ... 13 14 15 16 17 1819 20 21 22 23 ... 26 »
搜珍网 www.dssz.com