CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ps 2 键盘

搜索资源列表

  1. ps2

    0下载:
  2. verilog PS2键盘解码程序, 之前探讨过PS/2键盘编解码以及数据传输协议,这次自己动手实现了利用FPGA接收键盘编码,然后通过串口传输到PC。做的比较简单,只是通过FPGA把大写字母A-Z转换成相应的ASCII码,只要字母按键被按下,就能在串口调试助手里显示相应大写字母。下面就共享代码吧!   除了顶层模块,三个底层模块分别为PS/2传输处理模块、串口传输模块以及串口波特率选择模块(下面只给出顶层模块和PS/2传输处理模块的verilog代码)。-verilog PS2 Ke
  3. 所属分类:File Formats

    • 发布日期:2017-04-02
    • 文件大小:1490
    • 提供者:刘晓青
  1. Keyboard

    0下载:
  2. AVR AT8515 MCU做的标准Ps/2键盘协议实现的工程源码,IAR C环境。-AVR AT8515 MCU based rutine. Solutiuon for PS/2 keyboard protocel. Use IAR workbench C/C++.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:16928
    • 提供者:黎明
  1. verilog

    0下载:
  2. 用verilog语言实现ps/2键盘的输入-Ps/2 keyboard input verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:544760
    • 提供者:张喆
  1. jsq

    0下载:
  2. 基于spartan—3E 开发板的一个PS/2键盘主机键盘的双键盘输入的带语音功能了计算器,通过VGA显示在电脑屏幕上,-Spartan-3E development board a PS/2 keyboard host keyboard keyboard with voice input function calculator via the VGA display on a computer screen,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:5778407
    • 提供者:hexingliang
  1. KbdControl

    0下载:
  2. 这是一个模仿按键的驱动程序。唯一的缺点是它需要PS/2键盘。-This is a driver that simulates the keystroke. The only drawback is that it requires PS/2 keyboard.
  3. 所属分类:Driver Develop

    • 发布日期:2017-11-07
    • 文件大小:2048
    • 提供者:Daniel Lee
  1. ps2verilog

    0下载:
  2. 基于fpga的verilog写的PS/2键盘解码实验-Based on fpga verilog write the PS/2 keyboard decoding experiments
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:445875
    • 提供者:yeguowu
  1. ps2

    0下载:
  2. PS/2协议程序以及PS/2键盘的示例。-PS/2 protocol, as well as PS/2 keyboard example
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-12-02
    • 文件大小:3066
    • 提供者:kq
  1. S7_PS2_LCD

    0下载:
  2. 1、ps/2键盘输入,通过led显示ascii码 2、稍等1s可以在lcd上显示输入的字符 3、其中键盘上的backspce键是用来清屏的 4、当lcd上显示满字符时,在按下按键自动清屏,从第一行显示。-1, ps/2 keyboard input, through the led display ascii code 2, wait 1s in the lcd display input characters, of which the the keyboard on backsp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:605676
    • 提供者:丁俊辉
  1. PS2keyboard

    0下载:
  2. 利用VHDL进行嵌入式设计编程,PS/2键盘接口程序设计-PS/2 keyboard interface program design using VHDL programming embedded design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:96886
    • 提供者:叶子
  1. ps2_keyboard

    0下载:
  2. 基于FPGA,用VHDL控制PS/2键盘的程序文档,-VHDL PS/2 keyboard control procedures
  3. 所属分类:Other systems

    • 发布日期:2017-12-06
    • 文件大小:46510
    • 提供者:离弦
  1. ps2

    0下载:
  2. 基于Atmega16 的PS/2键盘驱动程序-Based on the Atmega16 PS/2 keyboard driver
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-30
    • 文件大小:73171
    • 提供者:dashi
  1. INT-PS2-1602

    0下载:
  2. PIC16F877A RB0引发外部中断的使用方法,学习PS/2接口键盘解码的方法 按下PS/2键盘按键后,将引发外部中断,进入中断后,对键盘数据线进行判断,以判断出是哪个按键 按下,并利用1602液晶,将该键显示出来。-PIC16F877A RB0 interrupt caused by the use of external methods, learning PS/2 keyboard interface method of decoding press PS/2 keyboard
  3. 所属分类:SCM

    • 发布日期:2017-11-11
    • 文件大小:47102
    • 提供者:zhiyongwang
  1. ps2

    0下载:
  2. 这个文件描述了用于PS/2 鼠标PS/2 键盘及AT 键盘的接口我将论及物理和电气接口也包括协议 如果你需要更高级的信息诸如命令数据包的格式或者其他关于键盘鼠标的特别细节那么我对这两 种设备写了独立的文件-This document describes a method for PS/2 mouse PS/2 keyboard and AT keyboard interface I will address the physical and electrical interface al
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-11-25
    • 文件大小:619543
    • 提供者:唐乐乐
  1. ps_music_ram

    0下载:
  2. 用ps/2键盘实现电子琴,利用ram可读出预存的曲子,也可以可写如弹凑的曲子-With ps/2 keyboard to achieve organ, using the ram read out the stored song, it can be written as the song playing Minato
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1462221
    • 提供者:张东豪
  1. ps2_scan

    0下载:
  2. 把PS/2键盘发射的扫描码通码转换成ASCII码-ps_scan, transfer ps keyboard information to ASCII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1755
    • 提供者:张成旭
  1. ARM9_PS2-Keyboard

    0下载:
  2. ARM9 上使用 PS/2键盘实验的源代码,可以借鉴来使用!调试通过!-Using the PS/2 keyboard experiment ARM9 source code, can learn to use! Through debugging!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-29
    • 文件大小:11714
    • 提供者:kingpower
  1. VHDL_PS2

    0下载:
  2. PS/2键盘输入数据,通过FPGA识别从键盘得到的扫描通码,并通过数码管显示出来,将通码转换成ASCII码-PS/2 keyboard input data, derived from identification through the FPGA through the keyboard scan codes, and through digital tube display, the pass code is converted into ASCII
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:908748
    • 提供者:邹先雄
  1. SUN

    0下载:
  2. 基于niosII设计的贪吃蛇游戏,采用ps/2键盘控制,16*16点阵显示-Snake game based niosII design, using ps/2 keyboard control, 16* 16 dot matrix display
  3. 所属分类:Other windows programs

    • 发布日期:2017-06-13
    • 文件大小:21289973
    • 提供者:谢翰
  1. ConsoleApplication1

    0下载:
  2. 实现winio模拟键盘,可以实现按下弹起功能,需要PS/2键盘-Analog keyboard source Hope to be useful Press the function to achieve bounce
  3. 所属分类:.net

    • 发布日期:2017-04-29
    • 文件大小:72443
    • 提供者:曹彦斌
  1. ps2key_test

    0下载:
  2. 基于fpga的ps/2键盘检码测试程序,可以对上下左右按键识别,并将结果显示在数码管上。-Fpga based on the ps/2 keyboard detection code testing procedures can identify up and down buttons, and the results are displayed on digital.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:225318
    • 提供者:小雨
« 1 2 3 4 5 6 7 89 10 11 12 »
搜珍网 www.dssz.com