CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - pwm波形产生

搜索资源列表

  1. 1

    0下载:
  2. 摘要:基于单片机的数控开关电源是利用单片机实现对开关电源的输出电压设定、输出电压步进调整、输出电压和输出电流显示等数字控制。本文设计的数控开关电源由两部分组成。开关电源部分采用基于PWM控制的不对称半桥功率变换器,由模拟控制芯片KA3525产生PWM信号经驱动电路实现对功率变换电路的输出电压控制,实现电压的稳定输出。数控部分采用凌阳单片机的D/A输出对KA3525的误差比较器的参考端进行数字给定,实现对输出电压的设定、步进调整和显示等功能。文中给出了系统设计框图,对各部分电路进行了分析,并给出了
  3. 所属分类:File Formats

    • 发布日期:2017-04-03
    • 文件大小:139899
    • 提供者:徐楠
  1. 52234_PWM_ADC_LCD

    0下载:
  2. 自己编的Freescale 32位微控制器MCF5223x系列的AD模块、PWM模块、QSPI模块综合应用程序(通过程序设定PWM模块,产生所需频率和占空比的脉冲,经过AD采样后,通过QSPI总线将处理数据传输到LCD上显示成脉冲的真实波形)。适用于学习Freescale微控制器的同学使用-Its own series of Freescale 32-bit microcontroller MCF5223x series of AD modules, PWM modules, QSPI modu
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:321947
    • 提供者:caomao
  1. LED_PWM_COL

    0下载:
  2. MSP430驱动步进电机生成PWM一个很全的程序,可以调节PWM频率,占空比、按照一定步进调节频率、产生脉冲波形等.写步进电机和直流电机的驱动可以借鉴一下-MSP430 PWM drive stepper motor generates a very full program, can adjust the PWM frequency, duty cycle, adjusting the frequency according to a certain step, resulting in pu
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:44972
    • 提供者:we
  1. generate_SPWM

    0下载:
  2. 载波频率15627.77Hz,产生脉宽调制的波形,用mega161写的程序-Carrier frequency 15627.77Hz, generate PWM waveforms, with written procedures mega161
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-06
    • 文件大小:89318
    • 提供者:黄勇
  1. EV-AD

    0下载:
  2. 通过EV产生PWM波,在通过捕获单元捕捉到信号,计算波形频率,适合初学者-PWM wave generated by EV, through the capture unit to capture the signal, calculating wave frequency, suitable for beginners
  3. 所属分类:DSP program

    • 发布日期:2017-03-31
    • 文件大小:281110
    • 提供者:黄敏
  1. pwma

    0下载:
  2. 利用DSPTMS320LF2407产生PWM波,其输出波形为方波-Produced by DSPTMS320LF2407 PWM wave, the output waveform is square wave
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:54488
    • 提供者:RON GUO
  1. EXAMPLE4.10

    0下载:
  2. PIC16887A单片机用PWM产生频率为1KHz、占空比为40 的波形-PIC16887A microcontroller PWM generation with a frequency of 1KHz, 40 duty cycle waveform
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-09
    • 文件大小:16091
    • 提供者:周欣
  1. PWMspeedchange

    0下载:
  2. 功能简介: 电机驱动模块接口,通过定时模块产生PWM波驱动直流电机工作,如果你手头上没有直流电机,你可以测量一下uln2003的输入口波形,如果你有直流电机,需要在uln2003输出接口上外接上拉电阻到电源,组成你的电机驱动模块-Features: Motor drive module interface, PWM wave generated by timing module driven DC motor work if you do not have DC motor,
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:12494
    • 提供者:孙俊
  1. PCA

    0下载:
  2. C8051F330的关于使用片内PCA的程序,可以产生PWM等电机控制波形.-C8051F330 PCA
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-16
    • 文件大小:128949
    • 提供者:熊金焱
  1. pic1code

    2下载:
  2. 正弦波脉宽调制技术(SPWM)三项pwm逆变器双极性SPWM波形的产生-Sinusoidal pulse width modulation (SPWM) three pwm inverter bipolar SPWM waveform generation
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:784
    • 提供者:高瑞红
  1. 330_0225

    0下载:
  2. C8051F330的PCA的程序,能产生PWM等电机控制波形-For C8051F330 PCA program, can generate PWM motor control waveform
  3. 所属分类:SCM

    • 发布日期:2017-11-27
    • 文件大小:22099
    • 提供者:王强
  1. 28335-PWMcontrol

    0下载:
  2. 5个使用DSP320f28335的PWM控制程序,已经测试通过,可产生精确占空比的脉冲宽度调制波形信号-5 DSP320f28335 PWM control procedures, has been tested, can generate a precise duty cycle of the pulse width modulated waveform signal
  3. 所属分类:DSP program

    • 发布日期:2017-11-15
    • 文件大小:26277
    • 提供者:lxd
  1. ssin

    0下载:
  2. 基于9B92下的波形发生程序,使用SPI控制AD9833产生任意频率的PWM。已编译执行过,可直接在板上运行-Based on the waveform 9B92 under SPI control AD9833 generate any frequency PWM. Compiled execution, can be directly run on the board
  3. 所属分类:SCM

    • 发布日期:2017-11-19
    • 文件大小:46611
    • 提供者:BILL
  1. PWM_dc-ac

    1下载:
  2. 产生PWM逆变波形,直流48v转换到交流18v-produce pwm
  3. 所属分类:Energy industry

    • 发布日期:2017-04-02
    • 文件大小:851127
    • 提供者:杨庆胜
  1. 28335PWM

    1下载:
  2. 这是一个利用DSP28335来产生PWM控制波形的资料,有各模块的介绍,比较详细。-This is a use of DSP28335 to generate PWM control waveform data, with each module is introduced, compared with
  3. 所属分类:Document

    • 发布日期:2017-05-03
    • 文件大小:580101
    • 提供者:张宁
  1. motor

    0下载:
  2. 直流电机的转速控制。利用8253产生“准PWM”(占空比50 ~0 )波形,不同的占空比影响着直流电机的转速。通过秒中断服务程序读取K7-0的数据、修改PWM的占空比,实现直流电机的转速控制。 -DC motor speed control. 8253 use a " quasi-PWM" (50 to 0 duty cycle) waveform, affecting different duty DC motor speed. Read by the interru
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:1087
    • 提供者:Sophia
  1. RGB七彩灯

    0下载:
  2. 51单片机通过调节PWM输出波形驱动RGB七彩灯产生7种颜色变换。(51 microcontroller through the adjustment of PWM output waveform drive RGB colorful lights produce 7 color changes.)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-19
    • 文件大小:5020672
    • 提供者:Leo_Fitz
  1. SPWM输出

    0下载:
  2. 用于产生SPWM波形,SPWM波是pwm的幅度随着余弦变化的波形,经过滤波后是正弦波(it is used for developmenT the SPWM.)
  3. 所属分类:单片机开发

  1. 3.12TIM1_4PWM

    0下载:
  2. STM32利用高级定时器TIM1,产生4路PWM波,并且波形两两互补(STM32 uses the advanced timer TIM1 to produce 4 PWM waves, and the waveform is 22 complementing.)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-22
    • 文件大小:1657856
    • 提供者:米斯特俞
  1. pid

    3下载:
  2. 基于STM32的,PID模糊控制系统,PID产生的数值控制PWM产生不同的波形,控制外部元件(Based on STM32, PID fuzzy control system, the numerical control PWM generated by PID generates different waveforms and controls external components.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2020-11-26
    • 文件大小:2048
    • 提供者:naixienian521
« 1 2 ... 8 9 10 11 12 13»
搜珍网 www.dssz.com