CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - s1

搜索资源列表

  1. MyQQwanzhengban

    0下载:
  2. 北大青鸟s1-myqq项目案例,包括数据库的连接和各个窗体的设计代码完整,功能齐全1-Beida Jade Bird s1-myqq project case, including database connectivity and the various forms of design code complete, fully functional 1
  3. 所属分类:ICQ-IM-Chat

    • 发布日期:2017-05-24
    • 文件大小:7805623
    • 提供者:陈峰
  1. lcm128645zk

    0下载:
  2. 这是我用过的北京青云科技的12864液晶屏,采用串行编程,注意在初次使用的时候,后面的一个0欧电阻S1要给它焊在上面一档-This is my used Beijing fasttrek s 12864 LCD, using the serial program, pay attention to the first use of time, behind a 0 uefa resistance S1 to give it a welding on top spy
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:2041
    • 提供者:苏海亮
  1. zidongji

    0下载:
  2. 本结构是以状态间的弧为核心定义的。其中s1和s2为该弧的初态和终态,s为该弧上接收的字母,in和out分别表示在接收字母后进栈和出栈的字母。为了方便查找和不使弧的个数受到限制,本程序将节点以链表的方式存储-This structure is state between the arc as the core defined. One for the arc s2 s1 and the initial state and eventually state, s for the arc on rec
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-26
    • 文件大小:8212
    • 提供者:zhanghuan
  1. Ex26

    1下载:
  2. 程序功能:STC单片机,开机后,步进电机无动作;按一下s1键,电机正转,按下s2键,电机反转,按下s3键,电机不转 学习重点: 1、步进电机的控掣方法 2、多模块的交互 A组线圈对应 P00 B组线圈对应 P01 C组线圈对应 P02 D组线圈对应 P03-Program features: STC microcontroller, boot, stepper motor no action s1 button click, the motor is
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-12-06
    • 文件大小:947
    • 提供者:kane
  1. Ex10

    0下载:
  2. 程序功能: 开机后,按一下S1,系统进入模式1;按一下S2,系统进入模式2;按一下S3,系统进入模式3。 在模式1下,S5按下LED1亮,弹起LED1灭; 在模式2下,S5按下LED2亮,弹起LED2灭; 在模式3下,S5按下LED3亮,弹起LED3灭; 学习重点: 1、程序的执行的顺序 2、switch..case..的用法 3、程序原模块化 4、全局变量的使用 -Program features: power, click S1, the system
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:1020
    • 提供者:kane
  1. s1

    0下载:
  2. 用C语言编写的“贪吃蛇游戏源程序” 大家共同分享-Written in C language with " Snake game source code," we share
  3. 所属分类:Other Riddle games

    • 发布日期:2017-04-13
    • 文件大小:1896
    • 提供者:ds
  1. classBase

    0下载:
  2. 试完成类Sub1和Sub2的定义和操作的实现代码,使之能符合 下面程序及在注释中描述的运行结果的要求-main() { Sub1 s1(1000, "This is an object of Sub1") Sub2 s2(2000, 10) s1.printOn() // 此时显示出: <1000: This is an object of Sub1> s2.printOn() // 此时显示出: <10 and 2000>}
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-03
    • 文件大小:3126
    • 提供者:文字
  1. PVoperation

    0下载:
  2. 实验中提供了 5 个信号量(s0-s4)和 20 个进程(pid 0-19) 。在程序运行过程中可以 键入 wait 命令,signal 命令和 showdetail 命令显示每个信号量的状态。具体输入解释如 下: 1) wait 获取信号量操作(P操作) 。 参数: 1 sname 2 pid 。 示例:wait(s1,2) 。进程号为 2 的进程申请名字为 s1 的信号量。 2) signal 释放信号量操作(V 操作) 。 参数 1 sname。
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-03
    • 文件大小:2057
    • 提供者:Alex
  1. Seg7Code

    0下载:
  2. 双数码管可调秒表,,选用12MHz的晶体。为了达到精确计时,选用定时器方式2,每计数250重载一次,即250us,定义一整数变量计数重载次数,这样计数4000次即为一秒。定义两个字节变量S10和S1分别计算秒十位和秒个位。-Dual adjustable digital stopwatch,, use 12MHz crystal. In order to achieve accurate timing, use the timer mode 2, one for each count of 25
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:834
    • 提供者:ruanxioafei
  1. wangshangshudian

    0下载:
  2. 网上书店是北大青鸟S1的项目实战内容。大家可以借鉴-html
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-05-28
    • 文件大小:10466445
    • 提供者:colin
  1. shizhong

    0下载:
  2. 开机时显示12.00 ,按下s1对‘时’进行调整,每按一下时加1;按下S2对‘分’进行调整,每按一下分加1;按S3对‘秒’进行调整,每按一下秒。加1-12.00.00 boot display, press s1 on the ' time' adjustment for each click when you add 1 press S2 on the ' points' to adjust, plus 1 point for every click by S3
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:6820
    • 提供者:吕海龙
  1. S1

    0下载:
  2. c++ example i writed down it about hour ago
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:702
    • 提供者:Rad
  1. yrh1

    0下载:
  2. 哈夫曼树的存储和哈夫曼编码的存储 在哈夫曼树HT[1..n] 搜索最大权值和最小权值并用s1,s2 返回它们的下标-Huffman tree storage and Huffman coding is stored in the Huffman tree HT [1 .. n] the right to search the maximum and minimum weights and use s1, s2 return their subscr ipt
  3. 所属分类:Data structs

    • 发布日期:2017-04-14
    • 文件大小:4470
    • 提供者:严若林
  1. jicun

    0下载:
  2. 32位32个寄存器组程序设计,用vhdl语言-module registers071221049 ( input [4:0]s1,s2, input [4:0] wd, input [31:0] data, input wre, clk, input he,hc,le,lc, output [31:0] out1, output [31:0] out2 )
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:610
    • 提供者:jari
  1. motor

    0下载:
  2. 马达正反转功能介绍:按下S1和S2键后马达正反转功能-motor
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:58593
    • 提供者:liu
  1. s1

    0下载:
  2. 1、 在WindowsXP下编写一个C或C++程序,模拟两个进程因资源分配不当而引起的系统死锁。设系统资源为两类,每类一个实例。-1, in WindowsXP to prepare a C or C++ program to simulate the two processes caused by improper distribution of resources, a system deadlock. Set of system resources into two categories,
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:2308
    • 提供者:傅林
  1. key

    0下载:
  2. 键盘扫描(例程: pcacp.c) 摘要:这部分程序是通过PCA来捕捉下降沿变化,来实现对板子上D2/D3两个LED进行控制。 具体操作:在IDE环境下对F340_example\F340_key\pcacp.c文件进行编译、下载、运行, 其中S4、S3分别对D2进行取反控制,S2、S1分别对D3进行取反控制。-Keyboard scan (routine: pcacp.c) Abstract: This part of the program is falling through
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:22556
    • 提供者:neuprince
  1. s1

    0下载:
  2. its a matlab code for face recognition and windows systtem
  3. 所属分类:matlab

    • 发布日期:2017-04-16
    • 文件大小:71981
    • 提供者:jatin
  1. Network_Drawer.m

    0下载:
  2. THis function make and plot a random network with N nodes input N: number of nodes r: radius of adjacency s = [s1 s2] is the coordinates of the rectangular in which the nodes are spread output adj_mat: adjacency matrix Lap_ma
  3. 所属分类:2D Graphic

    • 发布日期:2017-04-04
    • 文件大小:1097
    • 提供者:Arash
  1. lamu

    0下载:
  2. 用AT89S51单片机的P0.0/AD0-P0.7/AD7端口接数码管的a-h端,8位数码管的S1-S8通过74LS138译码器的Y0-Y7来控制选通每个数码管的位选端。AT89S51单片机的P1.0-P1.2控制74LS138的A,B,C端子。在8位数码管上从右向左循环显示“12345678”。能够比较平滑地看到拉幕的效果。-P0.0/AD0-P0.7/AD7 with AT89S51 microcontroller port connected to a-h digital side, 8-
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:158880
    • 提供者:李冰
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 27 »
搜珍网 www.dssz.com