CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - s1

搜索资源列表

  1. s1(1)

    0下载:
  2. c语言实现信号谱的算法 包含fft的c语言实现-FFT for C
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3607
    • 提供者:Yvone
  1. S1

    0下载:
  2. 通过IAR环境编译与建立,MSP430f149实现了RS485端口不停地发送数据0xaa的功能-Compiled with the establishment of the IAR environment,MSP430f149 achieved the function of sending 0xaa through the RS485 portd.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-16
    • 文件大小:13414
    • 提供者:Tea
  1. Sensored_With-GUI

    0下载:
  2. PIC18F2431 4个按键:按键S1复位,按键S2启动/停止,按键S3换向,电位器R14(Vref)加速/减速 实现过流过压保护(IMOTOR,即 PWM模块的FLTCONFIG 寄存器未设置) -PIC18F2431 Four keys: the key S1 reset button S2 start/stop button S3 commutation, potentiometer R14 (Vref) acceleration/deceleration Over-cu
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:17403
    • 提供者:火舞冰歌
  1. SensoredDSP30FOpenLoop

    0下载:
  2. DSP30F2010 3个按键:按键S1复位,按键S2启动/停止,电位器R14(Vref)加速/减速-DSP30F2010 Three buttons: the button S1 reset button S2 start/stop, potentiometer R14 (Vref) acceleration/deceleration
  3. 所属分类:DSP program

    • 发布日期:2017-04-16
    • 文件大小:27439
    • 提供者:火舞冰歌
  1. SensoredDSP30FClosedLoop

    0下载:
  2. DSP30F2010 闭环 3个按键:按键S1复位,按键S2启动/停止,电位器R14(Vref)加速/减速-DSP30F2010 closeloop Three buttons: the button S1 reset button S2 start/stop, potentiometer R14 (Vref) acceleration/deceleration
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:32444
    • 提供者:火舞冰歌
  1. 1

    0下载:
  2. 定时、计数、显示 设计一系统,系统要求: 1、有一发光二极管闪烁,闪烁周期为2S,有一按键S1对其进行控制(按一次开,再按一次停) 2、对一脉冲源进行计数,所记录的脉冲个数以十进制形式在数码管显示(最大225),有一按键S2对其进行控制(按一次开,再按一次停)。 S1不开是,发光二极管灭;S2不开时数码管显示自己的学号。 -Timing, counting, display System design, system requirements: 1, a light-
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:652
    • 提供者:
  1. Electrical-control

    0下载:
  2. 电器控制 用杜邦线把继电器输入端口和P1.1连接起来 按键s1吸合 s2释放继电器 工业上经常用到的。 -The electrical control relay input port and P1.1 connection with the DuPont line keys s1 pull s2 release relay Often used in industry.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:8168
    • 提供者:王登山
  1. avr_1064_keyPPROTEUS

    0下载:
  2. 软件 : winavr gcc 单片机 : M16 程序包括:1064驱动、键盘扫描驱动。 服务程序:s3一键多功能的程序. s1检测s3按键状态程序.-scankey display1064
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-28
    • 文件大小:66038
    • 提供者:zh
  1. 38

    0下载:
  2. 3-8译码器的vhdl源程序,设置了3个输入端s1,s2,s3-3-8 decoder vhdl source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:960
    • 提供者:李龙飞
  1. RGB.m

    0下载:
  2. find Avg RGB s1=imread( 7 img blue.jpg ) vec=reshape(s1,prod(size(s1))/3,3) R1=sum(vec(:,1))/length(vec(:,1)) G1=sum(vec(:,2))/length(vec(:,2)) B1=sum(vec(:,3))/length(vec(:,3)) RGB=[R1 G1 B1]- find Avg RGB s1=imread( 7 img b
  3. 所属分类:Special Effects

    • 发布日期:2017-03-23
    • 文件大小:68290
    • 提供者:bauni
  1. PIC18F2431Sensored_With-GUI

    0下载:
  2. PICDEM MCLV开发板 PIC18F2431芯片 GUI且有霍尔传感器 S1 复位 S2(S3) 启动/停止 R14(Vref) 调速 R60 过流过压保护-The PICDEM MCLV development board PIC18F2431 chip. S1 Reset S2 (S3) Start/Stop R14 to governor (Vref) R60 over-current protection
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:125275
    • 提供者:火舞冰歌
  1. 1

    0下载:
  2. 加减计数器 library ieee use ieee. std_logic-_1164.all entity dec3_8 is port(a,b,c,s1,s2,s3: in std_logic y: out std_logic_vector(0 to 7)) end architecture b of dec3_8 is signal abc: std_logic_vector(0 t
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:554
    • 提供者:镜辰
  1. MyString

    0下载:
  2. Define MyString class with demands as follows: 0. You CAN NOT use string in the program. 1. MyString can save any string 2. Overload operator + to append string to another one, such as: MyString S1, S2, S3 S1 = S2 + S3 3. Defin
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:246839
    • 提供者:weiqi
  1. twostack

    0下载:
  2. 一位数组stack[StackMaxSize],分配给两个栈S1和S2. 分配数组空间,使得对任何一个栈,当且仅当数组空间全满时才不插入。-An array of stack [StackMaxSize], assigned to the two stacks S1 and S2. The allocation of an array of space, making any stack, and only if A full array of space when not insert
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-10
    • 文件大小:830
    • 提供者:刘大
  1. USB-simulate-mouse-movement

    0下载:
  2. 利用一块HY-STM32开发板的4个按键S1,S2,S3,S4实现标准的USB接口游戏杆功能。 -Use of an HY-STM32 development board keys S1, S2, the S3, S4, standard USB interface joystick.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-10
    • 文件大小:2118345
    • 提供者:祁红梅
  1. Template_2

    0下载:
  2. dibujaPila(stack<int>&s1)
  3. 所属分类:Data structs

    • 发布日期:2017-05-02
    • 文件大小:590095
    • 提供者:alonso
  1. S1

    0下载:
  2. 易语言用pos登陆论坛源码 ,很不错的易语言源码,适合易语言爱好者学习。-Easy to language pos landing forum source, very good and easy language source code, and for easy language enthusiasts to learn.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:10772
    • 提供者:Fer34
  1. s1

    0下载:
  2. 16位超低功耗单片机实践与系统设计 课程PPT 实验板程序-16 ultra-low power MCU practice and system design courses PPT experiment board program
  3. 所属分类:SCM

    • 发布日期:2017-05-15
    • 文件大小:3965471
    • 提供者:朱高峰
  1. 10-USB-mouse-event

    0下载:
  2. 利用一块HY-STM32开发板的4个按键S1,S2,S3,S4实现标准的USB接口游戏杆功能。-Use of an HY-STM32 development board keys S1, S2, the S3, S4, standard USB interface joystick.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-10
    • 文件大小:2063162
    • 提供者:高向坤
  1. 51-Relay-control-c-C-source-

    0下载:
  2. 51 Relay control c C source,51单片机C程序————-继电器控制C语言源码,很好,很实用~~~用杜邦线把继电器输入端口和P1.1连接起来 按键s1吸合 s2释放继电器 sbit key1=P3^0 //定义按键位置 sbit key2=P3^1 //定义按键位置 sbit RELAY = P1^1 -51 Relay control c C source,
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:6662
    • 提供者:
« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 ... 27 »
搜珍网 www.dssz.com