CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - s1

搜索资源列表

  1. javascript

    0下载:
  2. 沿用S1 HTML部分的淘宝网,因为所有的Javascr ipt脚本特效不可能在一个网站全部出现,贯穿案例淘宝网只出现了大部分,其他零散的特效选自51job或sohu网站。-Follow S1 HTML part of Taobao, because all Javascr ipt scr ipt effects can not all appear in a Web site, Taobao only appeared throughout most cases, the effects of
  3. 所属分类:JavaScript

    • 发布日期:2017-05-11
    • 文件大小:2136356
    • 提供者:徐洋
  1. keyboard520

    0下载:
  2.   按下S1数码管显示0,按下S2数码管显示01,   按下S3数码管显示012,按下S4数码管显示0123,   按下S5数码管显示01234,按下S6数码管显示012345,   按下S7数码管显示0123456,按下S8数码管显示01234567,   按下S9数码管显示12345678,按下S10数码管显示23456789,   按下S11数码管显示3456789A,按下S12数码管显示456789Ab,   按下S13数码管显示56789AbC,按下S14数码管
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:20051
    • 提供者:chen yi
  1. traffic-light

    0下载:
  2. 该交通信号灯控制器用于控制一条主干道与一条乡村公路的交叉口的交通(如图8-1所示),它必须具有下面的功能;由于主干道上来往的车辆较多,因此控制主干道的交通信号灯具有最高优先级,在默认情况下,主干道的绿灯点亮;乡村公路间断性地有车经过,有车来时乡村公路的交通灯必须变为绿灯,只需维持一段足够的时间,以便让车通过。只要乡村公路上不再有车辆,那么乡村公路上的绿灯马上变为黄灯,然后变为红灯;同时,主干道上的绿灯重新点亮;一传感器用于监视乡村公路上是否有车等待,它向控制器输入信号X;如果X=1,则表示有车等
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:730
    • 提供者:杜松
  1. S1

    0下载:
  2. MATLAB CODE IN SIMULATION FILE MATLAB
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:33611
    • 提供者:rezakiani
  1. HALF

    0下载:
  2. 令S为一个n个正整数的集合,n为偶数。请设计一个有效的算法将S分成两个子集S1,S2,且两个子集均含n/2个元素。结果输出到文件中-Let S be a set of positive integers n, n is an even number. Please design an efficient algorithm S into two subsets S1, S2, and the two subsets are inclusive of n/2 elements. Output to
  3. 所属分类:source in ebook

    • 发布日期:2017-05-11
    • 文件大小:2199062
    • 提供者:叶强
  1. s1

    1下载:
  2. 仿真高斯白噪声信道下QPSK的Eb/N0与误比特率之间的关系。 要求: 任选一种工具进行仿真:SPW、Matlab、Simulink。 成型滤波器采用根升余弦滚降滤波器,滚降系数为0.5,过采样因子为4 要求仿真至1e-5误比特率 。 与理论值对比,绘出对比曲线-Simulation of Gauss white noise channel QPSK between Eb/N0 and bit error rate of the relationship. Require
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:855
    • 提供者:李硕
  1. S1-1

    0下载:
  2. c#实验作业第1题,可互相学习借鉴,实现基本功能,便于理解-c# Question 1 lab assignments can learn from each other, to achieve the basic functions, easy to understand
  3. 所属分类:CSharp

    • 发布日期:2017-04-24
    • 文件大小:20308
    • 提供者:sunlinhui
  1. zhong-duan-ji-shu-LED-blink

    0下载:
  2. 上一节讲了多任务中蜂鸣器驱动程序的框架,这节继续利用多任务处理的方式,在主函数中利用累计主循环次数来实现独立按键的检测。要教会大家四个知识点: 第一点:独立按键的驱动程序框架 第二点:用累计主循环次数来实现去抖动的延时。 第三点:灵活运用防止按键不松手后一直触发的按键自锁标志。 第四点:在按键去抖动延时计时中,添加一个抗干扰的软件监控判断。一旦发现瞬间杂波干扰,马上把延时计数器清零。 这种方法是我在复杂的工控项目中总结出来的。以后凡是用到开关感应器
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3035
    • 提供者:高飞
  1. ActionListener

    0下载:
  2. import java.awt.* import java.awt.event.* public class Calculator implements ActionListener { String s= " ",s1 double d1,d2 JFrame jf = new JFrame( "计算器 ") JTextField tf = new JTextField() public void init()//实现
  3. 所属分类:Games

    • 发布日期:2017-03-29
    • 文件大小:1507
    • 提供者:pdadns
  1. Student-Info-Count

    0下载:
  2. 北大青鸟S1课本10章以后资料内容html网页效果-Beida Jade Bird S2 Project BBS (JSP forum) Development Environment
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:196404
    • 提供者:name
  1. ZZ02_KeyCounter

    0下载:
  2. 按键记数程序,对程序中变量S1的按键次数,以二进制显示在16个LED灯上面。 -Press the key to count the program, press the number of times for S1, displayed in binary in 16 led
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-28
    • 文件大小:29880
    • 提供者:木子琴
  1. filesuite-alpha

    0下载:
  2. A simple file suite. installing it on a subdomain on server is a way to get it working. example s1.example-domain.com it gives a cool look on file addresses and also tracks each download if somebody includes a tracker. basically if someone incl
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-04-07
    • 文件大小:2577
    • 提供者:MrKenkadze27
  1. Demo

    0下载:
  2. 号码分配过程中,用如下格式表示已经分配的号码:a1-b1,a2-b2, ... ,ai-bi, ... ,an-bn,其中ai-bi表示一段连续号码,ai代表起始号码,bi代表结束号码,简称号码段。 例如: 12-30,40-50,100-200 表示12到30号,40到50号,100到200号已经分配。 号码段之间用“,”分隔,号码段起止号码用“-”连接。 给定字符串s1,代表已经分配的号码,格式如上; s2代表将要分配的号码,格式为a1-b1,即一个号码段。 编写
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-12
    • 文件大小:893
    • 提供者:qiaohe
  1. S1

    0下载:
  2. SET OF PROGRAMS FOR ASSEMBLY LINE BLOCKING PARAMETERS
  3. 所属分类:Development Research

    • 发布日期:2017-04-25
    • 文件大小:102835
    • 提供者:YONAS
  1. Key

    0下载:
  2. 掌握CC2530芯片GPIO的配置方法。按下按键S1控制LED1灯亮和灭-Master configuration CC2530 chip GPIO s. Press the button S1 control LED1 lights and off
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:10271
    • 提供者:赵英超
  1. hid-steelseries

    0下载:
  2. HID driver for Steelseries SRW-S1.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-14
    • 文件大小:3056
    • 提供者:rhtingying
  1. DS18B20PLCD1602

    0下载:
  2. 用两个温度传感器,一个用来探测当前空气温度,另一个用于探测热源的温度;根据两处温度的变化来开启或关闭两个继电器(1号、2号)。 CPU=热源温度,T=当前空气温度,S1=1号继电器,S2=2号继电器 一、当T>15度时 1、CPU >= T,开启s1,s2 2、T-10 < CPU <= T - 5度时,关闭S1; 3、CPU <= T - 10度时,关闭S2; 注:当CPU温度下降至S1、S2全关闭后,再上升至CP
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:3323
    • 提供者:姚盛华
  1. Sci_kong_aj01

    0下载:
  2. DSP2812 独立按键控制流水灯,按下S1键L1亮,按下S2键L1灭,按下S3键L8亮,按下S4键L8灭。按下S5键L1~L8循环流水灯亮灭。-DSP2812 separate buttons to control water lights, press S1 button L1 lit, press S2 key L1 is off, press the S3 key L8 light, press the S4 key L8 off. Press S5 button L1 ~ L8 rec
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:220461
    • 提供者:konghao
  1. Two-Machine-Communication

    0下载:
  2. 232双机通信,主机一上电主机显示6个8点,并打印机打印中国传媒大学英文名字,按S1键显示电压值,转动按钮变动电压值,显示屏显示相应电压值,按S2键打印当前电压值。从机一上电显示6个8点,并和主机保持电压值一致-Display 232 pairs of computer communication, host a power-host 6 8:00, and the printer to print the English name of the Communication University
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:123911
    • 提供者:史荣洁
  1. test-five

    0下载:
  2. 合肥工业大学 C++程序设计 实验报告五 习题及对应完整代码 〈1〉输入三个整数,按由小到大的顺序输出,然后将程序改为:输入三个字符串,按由小到大的顺序输出。 〈2〉自己写一个strcmp函数,实现两个字符串的比较。两个字符串s1,s2由main函数输入,strcmp函数的返回值也在main函数中输出。-C++ programming lab reports, Hefei University of five exercises and the corresponding complet
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-24
    • 文件大小:17531
    • 提供者:
« 1 2 ... 16 17 18 19 20 2122 23 24 25 26 27 »
搜珍网 www.dssz.com