CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - s1

搜索资源列表

  1. hid-steelseries

    0下载:
  2. HID driver for Steelseries SRW-S1.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-14
    • 文件大小:3078
    • 提供者:coczming
  1. s1

    0下载:
  2. MDVLDVF M VLMCLV KMVKLMCLV-MDVLDVF M VLMCLV KMVKLMCLV
  3. 所属分类:Dialog_Window

    • 发布日期:2017-04-14
    • 文件大小:3031
    • 提供者:vijay
  1. rb532_button

    0下载:
  2. Support for the S1 button on Routerboard 532.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-12
    • 文件大小:1174
    • 提供者:zuigmxo
  1. s1

    0下载:
  2. speacker age human selection
  3. 所属分类:source in ebook

    • 发布日期:2017-04-29
    • 文件大小:8612
    • 提供者:javad
  1. Example-s1-1

    0下载:
  2. 面积和速度的互换是FPGA/CPLD设计的一个重要思想。从理论上讲,一个设计如果时序余量较大,所能运行的频率远远高于设计要求,那么就能通过功能模块复用减少整个设计消耗的芯片面积,这就是用速度的优势换面积的节约;反之,如果一个设计的时序要求很高,普通方法达不到设计频率,那么一般可以通过将数据流串并转换,并行复制多个操作模块,对整个设计采取“乒乓操作”和“串并转换”的思想进行处理,在芯片输出模块处再对数据进行“并串转换”。从宏观上看,整个芯片满足了处理速度的要求,这相当于用面积复制换取速度的提高。面
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:236050
    • 提供者:zhuchaoyong
  1. BLE-CC254x-1.3.2-Switch

    0下载:
  2. CC2540 BLE Stack主从设备角色切换 硬件:WEBEE CC2541 KeyFob 按键S1:改变其角色ROLE_PERIPHERAL/ ROLE_CENTRAL ROLE_PERIPHERAL: LED2:闪烁表示处于广播模式 LED1:表示连接模式(闪烁一次) ROLE_CENTRAL LED1:表示连接模式或扫描模式 扫描模式:闪烁一次 连接模式:闪烁次数=1+连接的设备数(总共可以连接3个设备) -CC2540 BLE S
  3. 所属分类:SCM

    • 发布日期:2017-05-27
    • 文件大小:10709113
    • 提供者:bamboo
  1. gongkong

    0下载:
  2. 实现功能: 控制2个气缸,没有任何传感器。第1个气缸先伸出去,1秒钟后再收回来。然后第2个气缸再伸出去,1秒钟后再收回来,算完成一个过程,然后重头开始循环下去。每一个过程要计数加1显示在右边的4位数码管上,左边的4位数码管显示设定的最大计数上限,一旦超过这个计数上限就自动停止。有4个按键,一个按键用来启动,一个按键用来急停。另外两个按键是加减按键,用来设置左边显示的最大计数上限。断电要求数据不丢失。如果同时按下加减两个按键,可以清零当前计数的内容。这4个按键都是独立按键。S1键是加键,S
  3. 所属分类:SCM

    • 发布日期:2017-04-28
    • 文件大小:51788
    • 提供者:王云
  1. s1

    0下载:
  2. 汇编语言从键盘输入两位多位数变量相加并显示结果-Enter the sum of two variables compilation
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:866
    • 提供者:孙正豪
  1. py5

    0下载:
  2. 实现字符串间相同字符串的替换功能。若s中的字符在s1中出现,则用s2中的字符替换。-To achieve the same function between string replacement string. S If the characters appear in s1, then replace it with the character in s2.
  3. 所属分类:Console

    • 发布日期:2017-05-03
    • 文件大小:580801
    • 提供者:pyj
  1. 51xiaochezonghechengxu

    0下载:
  2. 51小车程序运行效果:将小车置于一个桌面上(桌面请不要使用黑色)。打开电源的开关,小车开始前进运行,同时运行指示灯闪亮,这时如果前方有障碍物(或走到悬崖处),小车将会先进行后退一段时间,并有蜂鸣器报警,再向左转一段时间,最后开始向前运行、左转、后退、右转、可以通过前方指示灯来观察。这时你可以能过声控(如拍手声)来让小车停止和开启.同时你也可以用遥控来控制小车的运行。按“1”,小车将向前运行,按“2”小车将后退,按“3”小车将左转,按“4”小车将右转.按其它(0-9)键,小车数管码显示相应的数字符
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:4283
    • 提供者:黄林其
  1. correct

    0下载:
  2. 通过计算出 S1 S2 S3来完成对信息位的查错和纠错并且在每传完512位信息位和384位后检测收到的数据是不是0000,若是0000的话表示接收双方依旧同步 否则将 asyn_flag置为高电平表示不同步-By calculating S1 S2 S3 to complete the information bits and error checking and error correction data received at 512 and 384 after the informa
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-31
    • 文件大小:1621
    • 提供者:
  1. EJ_CandleTime

    1下载:
  2. 蜡烛图剩余时间,直接在MT4平台显示出来。非常方便外汇交易者注意收盘时间。 储存路径:MT4\MQL4\Indicators-//+ + //| b-clock.mq4 | //| Core time code by Nick Bilak |
  3. 所属分类:Finance-Stock software system

    • 发布日期:2017-04-09
    • 文件大小:724
    • 提供者:chenxiao
  1. Cashiers-and-customers

    1下载:
  2. 收银员与顾客问题(信号量操作) 设计目的:了解信号量机制,了解并掌握进程同步和互斥机制,熟悉信号量的操作函数,利用信号量实现对共享资源的控制。 操作系统是一门工程性很强的课程,它不仅要求学生掌握操作系统的工作原理和理论知识,也要求学生的实际动手能力,以加深对所学习内容的理解,使学生熟练地掌握计算机的操作方法,使用各种软件工具,加强对课程内容的理解。 要求:在某超市里有一个收银员,且同时最多允许有n个顾客购物,我们可以将顾客和收银员看成是两类不同的进程,且工作流程如下图所示。为了利用P
  3. 所属分类:Process-Thread

    • 发布日期:2017-04-13
    • 文件大小:2025
    • 提供者:liuping
  1. CG_

    0下载:
  2. 介绍: 这是一个运行脚本的脚本,可以理解为脚本执行器,脚本本身定义了一套灵活的语法,支持循环跳转等流程特性。 你可以通过写这样的语法来完成游戏里的人物动作。 开始脚本 设置战斗配置(5,1,1,1,4,2) 标记(重复程序) 等待(3000)毫秒 物品大于(11,去卖出) //首先是去补血 标记(去补血) 人物位置(140,105,ELS去W1) 人物位置(72,123,W2去BX) 人物位置(233,78,E2去BX)
  3. 所属分类:Game Hook Crack

    • 发布日期:2017-04-25
    • 文件大小:181834
    • 提供者:洪薏婷
  1. VHDL-Multi-fuction-Clock

    0下载:
  2. 设计一个多功能数字钟,要求显示格式为小时-分钟-秒钟,整点报时,报时时间为10 秒,即从整点前10 秒钟开始进行报时提示,喇叭开始发声,直到过整点时,在整点前5 秒LED 开始闪烁,过整点后,停止闪烁。系统时钟选择时钟模块的10KHz,要得到1Hz 时钟信号,必须对系统时钟进行10,000次分频。调整时间的的按键用按键模块的S1 和S2,S1 调节小时,每按下一次,小时增加一个小时,S2 调整分钟,每按下一次,分钟增加一分钟。另外用S8 按键作为系统时钟复位,复位后全部显示00-00-00。-T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7658196
    • 提供者:冯雨娴
  1. TELCAL

    0下载:
  2. 1.送電時,EOM的指示燈亮,S1將切至錄音位置,按住REC按鈕,EOM指示燈熄,開始錄音第一段,如 本人不在,逼聲後,請留言 -1. power transmission, EOM indicator light, S1 will switch to the recording position, and hold the REC button, EOM indicator turns to start recording first paragraph, such as I am no
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:1391
    • 提供者:Chun-Chi-Lin
  1. path

    0下载:
  2. First N chars of S1 match S2, and S2 is N chars long.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-15
    • 文件大小:6281
    • 提供者:ninqnmp
  1. Control-basic-switch

    0下载:
  2. 假设开关S1,S3,S5 ON 前四颗灯亮 S2,S4,S6 ON 后四颗灯亮 S7,S8 ON 灯全亮-Assumptions switches S1, S3, S5 ON before four lights S2, S4, S6 ON after four lights S7, S8 ON lights all bright
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:550
    • 提供者:tonyv
  1. homework4

    1下载:
  2. 1.输入一无符号整数num,要求通过计算,得到它的二进制方式,并输出在显示屏上 2.求3X3矩阵对角线元素之和 3.求n*n矩阵对角线元素之和 4.鞍点 5.字符串按逆序重新存放 6.两个字符串S1和S2比较-1. Enter an unsigned integer num, requested by calculation, to get it in binary mode, and output on the display 2. 3X3 matrix diagonal elements o
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-07
    • 文件大小:1163264
    • 提供者:zgj
  1. S1

    0下载:
  2. MSP430单片机通过485接口对外连续输出AA指令-MSP430 single chip microcomputer through the 485 interface continuous output AA instructions
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:34414
    • 提供者:陈然
« 1 2 ... 17 18 19 20 21 2223 24 25 26 27 »
搜珍网 www.dssz.com