CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - s1

搜索资源列表

  1. s1

    0下载:
  2. 线性方程组求解,数值分析中中小规模的线性方程组数值求解方法-Solving linear equations, numerical analysis of linear equations in the small and medium scale numerical solution method
  3. 所属分类:Algorithm

    • 发布日期:2017-05-04
    • 文件大小:23665
    • 提供者:biwurong
  1. key

    0下载:
  2. 按键测试程序,按下S1-S4,在LCD上分别显示1-4.-Key test program, press S1-S4, respectively, is displayed on the LCD 1-4.
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:1153
    • 提供者:dyingto
  1. 1471-2105-14-297-s1

    0下载:
  2. 这是有关细胞处理的源码,是一篇外文文献的实现。-this is a programe about cell processing,which is the implementation of a foreign article.
  3. 所属分类:Special Effects

    • 发布日期:2017-05-09
    • 文件大小:1541383
    • 提供者:许三多
  1. nphoton.2015.150-s1

    0下载:
  2. Inverse four-wave-mixing and self-parametric amplification in optical fibre-Inverse four-wave-mixing and self-parametric amplification in optical fibre
  3. 所属分类:matlab

    • 发布日期:2017-05-07
    • 文件大小:1381992
    • 提供者:ranee
  1. Eight-way-responder

    0下载:
  2. 本次设计使用了74系列常用集成电路设计的数码显示八路抢答器的电路组成、设计思路及功能。此次设计的抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S1~S8表示。设置一个暂停,系统复位和抢答控制开关S,该开关由主持人控制。当其中一路抢先接通电路后,装置自动切断其他电路的信号,同时答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。如过倒计时二十秒后无人抢答,系统自动复位,倒计时小于五秒发出报警提示。-This design uses 74
  3. 所属分类:SCM

    • 发布日期:2017-05-05
    • 文件大小:287110
    • 提供者:李闯
  1. s1

    0下载:
  2. 精确值为。 1)编制按从大到小的顺序,计算SN的通用程序。 2)编制按从小到大的顺序,计算SN的通用程序。 3)按两种顺序分别计算,并指出有效位数。(编制程序时用单精度) 4)通过本次上机题,你明白了什么?-Exact value. 1) prepared in descending order, the calculation SN general procedure. 2) prepared in accordance with the order small to lar
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-12
    • 文件大小:1532
    • 提供者:宋文儒
  1. buttons-control-the-LED-Marquee

    0下载:
  2. 按键控制LED跑马灯,读取按键状态,设置 LED 灯相应的 IO 口,设置按键 S1 相应的 IO 口, 扫描按键当前状态,按下时执行跑马灯效果.-The control button LED marquee, read the key state, set the corresponding LED lamp IO port, IO port settings button S1, Key scan the current state of implementation of the
  3. 所属分类:SCM

    • 发布日期:2017-05-05
    • 文件大小:213022
    • 提供者:林子恒
  1. Wavelet-feature-analysis-

    0下载:
  2. 装入变换放大器输入输出数据画出正常信号与故障信号的原始波形用dbl小波包对正常信号s1进行三层分解-Wavelet feature analysis
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1084
    • 提供者:李闯
  1. lesson8

    0下载:
  2. proteus单片机仿真 继电器 #include<reg51.h> sbit S1 P3^2 sbit S2 P3^3 sbit Relay P0^0 void main() { while(1) { if(S1 0) Relay 1 if(S2 0) Relay 0 } }-Proteus single-chip microcomputer simulation- relay # include <
  3. 所属分类:OS Develop

    • 发布日期:2017-05-05
    • 文件大小:45109
    • 提供者:吴空其
  1. chengxu

    1下载:
  2. 小波变换进行变速箱故障诊断 首先将s1和s2信号时域谱(s1代表维修前的振动信号,s2代表维修后的振动信号),画出来进行对比,会发现s1信号的振动幅值会比较高;然后对s1和s2分别进行小波分解并画出各层的时域谱,只对比s1和s2分后的最高时域谱,s2更加的具有周期性,且幅值较小,s2周期性不够明显,且振动过于密集。分析完时域谱后开始分析频谱,首先对原信号s1和s2fft转换,得到频谱图,如图,然后再对小波分析后高频部分进行fft转换,做出频谱图。对比后我们发现,在f 2375HZ出(也就是齿
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2065
    • 提供者:lililili
  1. s1

    0下载:
  2. Cognitive radios network is programmable wireless devices to monitor spectrum used, and networking protocol as needed for better network and application performance.
  3. 所属分类:File Formats

    • 发布日期:2017-05-05
    • 文件大小:497889
    • 提供者:steve61000
  1. 3-8

    0下载:
  2. 编写一个函数int squeeze(char s1[], const char s2[]),它从字符串s1中删除所有在s2里出现的字符,函数返回删除的字符个数-Write a function that removes all the characters that appear in the S2 the string S1
  3. 所属分类:Algorithm

    • 发布日期:2017-12-13
    • 文件大小:547
    • 提供者:vivi
  1. external-interrupt

    0下载:
  2. 1.单片机的INT0引脚上连接了一个按键,按键的一端接地,另一端通过上拉电阻连接到INT0,对按键被按下的事件计数,并且在3个8段数码管上显示出来。另一个普通I/O引脚上连接一个按键用于清零计数,当计数达到999或者清零按键按下时,数码管被归零,重新开始计数。 2.硬件电路要求:单片机使用P1口驱动3位7段共阳极数码管,使用P2.0—P2.2口选择用于显示的数码管,外部中断引脚INT0(P3.2) 上连接了按键S1,用于中断计数,外部引脚P3.5(T1)上连接了按键S2,用于清零计数。
  3. 所属分类:SCM

    • 发布日期:2017-12-14
    • 文件大小:16695298
    • 提供者:崔云翔
  1. xcorr

    0下载:
  2. 原信号s1为正弦信号,原信号s2为频率相同的另一正弦信号; 对s1、s2分别加噪声n1、n2得到信号x、y; 对加有噪声的x做自相关函数估计原信号; 对加有噪声的x、y做互相关函数估计原叠加信号。-The original signal s1 is a sinusoidal signal, the original signal s2 is another sinusoidal signal with the same frequency On s1, s2 were adde
  3. 所属分类:matlab

    • 发布日期:2017-12-16
    • 文件大小:1024
    • 提供者:吴佳铭
  1. diffie_hellman

    0下载:
  2. Implement Diffie-Hellman Key exchange protocol and demonstrate that at the end, both person will have a common Key. Do the following: 1. Set a variable p ( e.g. p = 37) and g (e.g. g = 5). 2. Generate a, a random number mod p. Now generate A,
  3. 所属分类:CA program

  1. NN_tutorial

    0下载:
  2. 人工神经网络是深度学习的基础,并在图像识别上应用非常多。本代码内容是一个经典的BP网络,S1和S2分别表示中间层和输出层的神经元个数,学习3幅不同类型的图像并输出。-Artificial neural networks are the basis of deep learning and are used in image recognition. The contents of this code is a classic BP network, S1 and S2, respectively
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-12-15
    • 文件大小:1024
    • 提供者:huolingfei
  1. s1

    0下载:
  2. 能将字符型的指令自定义地编译成二进制码,用来学习设计自己的CPU-Can be character-type instructions can be compiled into a binary code, used to learn to design their own CPU
  3. 所属分类:Editor

    • 发布日期:2017-12-12
    • 文件大小:1499
    • 提供者:张叫叫
  1. s1

    0下载:
  2. Matlab书后的实验程序,学习者可以参考(The experiment program after the book of Matlab)
  3. 所属分类:其他

    • 发布日期:2017-12-23
    • 文件大小:2048
    • 提供者:dingdong66078
  1. aaa

    0下载:
  2. LR分析器的核心是一张分析表,它由两个子表组成: 其一是分析动作表;另一个为状态转移表。其中: S1,S2,…,Sn为分析器的各个状态;a1,a2,…,al为文法的全部终结符号和句子界符;X1,X2,…,Xp为文法字汇表中的全部文法符号。(I like doing sports and reading in my free time. My favorite sport is basketball. I often play basketball with my classmates after
  3. 所属分类:其他

    • 发布日期:2017-12-19
    • 文件大小:1024
    • 提供者:小许
  1. hafumshu

    0下载:
  2. 总结 1.基本上没有什么太大的问题,在调用select()这个函数时,想把权值最小的两个结点的序号带回HuffmanCoding(),所以把那2个序号设置成了引用。 2.在编程过程中,在什么时候分配内存,什么时候初始化花的时间比较长 3.最后基本上实现后,发现结果仍然存在问题,经过分步调试,发现了特别低级的输入错误。把HT[i].weight=HT[s1].weight+HT[s2].weight;中的s2写成了i(summary 1. basically there is no big
  3. 所属分类:其他

    • 发布日期:2017-12-20
    • 文件大小:1024
    • 提供者:nature boy
« 1 2 ... 20 21 22 23 24 2526 27 »
搜珍网 www.dssz.com