CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - sampling fpga

搜索资源列表

  1. ADc

    0下载:
  2. 与单片机相比,用CPLD/FPGA器件更适合于直接对高速AD采样控制。本实验接口器件为ADC0809,根据ADC0809的工作时序使用CPLD产生该控制信号,CPLD启动AD转换后,得到的数据送至单片机并在PC机及数码管上显示AD转换结果。-Compared with the microcontroller, CPLD/FPGA devices more suitable for direct sampling control of high-speed AD. The interface of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:14539
    • 提供者:chen
  1. Temperature_acquisition

    0下载:
  2. 用VHDL语言在FPGA上实现了8路温度数据的采样功能。控制THS1408芯片进行AD转换,采样后存入对应的8组寄存器,并产生使能信号通知其他模块进行8路数据的统一采样。-8-channel temperature data sampling function is implemented on FPGA using VHDL language. Control THS1408 chip AD converter, and sampled into the corresponding set o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2252
    • 提供者:张明
  1. ad_da

    1下载:
  2. Altera FPGA ad采样,da回放-Altera FPGA AD sampling, da playback
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-20
    • 文件大小:580608
    • 提供者:小亮
  1. AD250MdaFIFO

    0下载:
  2. AD250 FPGA PCI桥采样示例代码-AD250 FPGA PCI bridge sampling
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1128691
    • 提供者:华仁红
  1. AD7656

    0下载:
  2. AD7656芯片的FPGA接口程序,实现AD采样和数字信息转换-AD7656 chip FPGA interface program, the AD sampling and digital information into
  3. 所属分类:Communication

    • 发布日期:2017-03-24
    • 文件大小:1384
    • 提供者:sheweidong
  1. uart

    0下载:
  2. 用FPGA实现串口的收发功能,采用16背波特率的时钟对RXD采样,波特率的误差允许范围为4.8 -16 back baud rate clock on RXD serial transceiver functions FPGA implementation sampling, the range of allowable error of the baud rate of 4.8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1501
    • 提供者:tony
  1. ad976

    0下载:
  2. FPGA实现AD976的自动采样的Verilog HDL程序,所采用的是AD976的模式一,已调试成功-AD976 FPGA to realize the automatic sampling of the verilog HDL program, the is AD976 model a, already debugging success
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:540325
    • 提供者:
  1. ad976_CS

    0下载:
  2. FPGA实现AD976的自动采样的Verilog HDL程序,所采用的是AD976的模式二,即采用CS信号,已调试成功-AD976 FPGA to realize the automatic sampling of the Verilog HDL program, the AD976 is the mode 2, i.e., to use the CS signal, already debugging success
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-05
    • 文件大小:135953
    • 提供者:
  1. phase_test

    0下载:
  2. VHDL,简易音频数字相位表的设计与实现 数字相位测量仪在工业领域中经常用到的一般测量工具,主要应用与同频率正弦信号间的相位差的测量显示。 本系统采用FPGA实现测量的核心部分,主要由数字鉴相、累加计数器、控制器以及寄存与显示译码电路组成。该系统硬件电路简单,整个系统采用硬件描述语言VHDL作为系统内部硬件结构的描述手段,在XILINX公司的ISE9.1的软件支持下完成。可以对20Hz~20kHz频率范围内的音频信号进行采样鉴相处理,并将数据传回FPGA进行相位差计数累加、测量运算,最后送显
  3. 所属分类:Project Design

    • 发布日期:2017-11-15
    • 文件大小:1366795
    • 提供者:张学仁
  1. FEP1C3_12_7_SP

    0下载:
  2. 基于FPGA的信号采集及频谱分析,用VHDL编写,压缩包里是Quartus下的工程。AD采样用状态机实现现,并存入LPM_RAM。设计了一个UART模块(也是状态机实现的),可将数据发到PC机上。 已通过测试。 -FPGA-based signal acquisition and spectrum analysis, using VHDL prepared compression bag Quartus engineering. AD sampling using the state mac
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-07
    • 文件大小:214623
    • 提供者:l2003l
  1. VGA_CHAR_WAVE

    0下载:
  2. FPGA 示波器,使用 digilent 的 nexys2 板子。可以在 VGA 显示器上显示波形及字符。AD 为 60M 采样频率 8bit 的 ADS830E 。-The FPGA Oscilloscope, use digilent, the nexys2 board. Waveform can be displayed on a VGA monitor and character. The AD for 60M sampling frequency 8bit ADS830E.
  3. 所属分类:Other systems

    • 发布日期:2017-11-10
    • 文件大小:1140712
    • 提供者:sichen
  1. fpga_ad

    0下载:
  2. 本文减少了如何用FPGA实现AD的采样控制,对于首次使用者很有参考价值-Reduce the FPGA implementation of AD sampling control, great reference value for first-time users
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:3748295
    • 提供者:金涛
  1. ad_sample

    0下载:
  2. BF537开发板上用fpga实现AD采样,DSP用DMA将fpga内的数据读回dsp-BF537 development board with fpga implementation AD sampling, DSP DMA will use the data read back fpga dsp
  3. 所属分类:DSP program

    • 发布日期:2017-11-13
    • 文件大小:6328
    • 提供者:张鑫
  1. ads1252

    1下载:
  2. 用fpga控制ads1252采样,晶振高,速度快,采用的是同步模式,采样回来的前5个值不准,取值要从第6个值开始,第一位是标志位-With fpga control ads1252 sampling, crystal, high speed, using the synchronous mode, the first five sampling returned values ​ ​ are not allowed, ranging from the first six va
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:826
    • 提供者:zzt
  1. sbq

    1下载:
  2. 基于fpga和传统示波器工作方式的vhdl程序,通过ad0809采样信号(可兼容tlc5510)再经由8位da转换输出,同时输出外触发锯齿波,建议使用感性小的示波器探头,否则锯齿波低频时会出现失真-Fpga-based and traditional ways of working oscilloscope vhdl procedures, through ad0809 sampling signal (compatible tlc5510) and then through eight da
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-18
    • 文件大小:6238208
    • 提供者:朱志超
  1. FIR5

    0下载:
  2. FPGA基于FIR的滤波,EP2C8芯片 40Mhz的采样频率,50KHz的截止频率的低通滤波,自己调试可用-FPGA-based FIR filter, EP2C8 chip 40Mhz sampling frequency, 50KHz cutoff frequency of the low pass filter, own debugging available
  3. 所属分类:Other systems

    • 发布日期:2017-05-25
    • 文件大小:8498758
    • 提供者:马双
  1. dsp_core_tx_filter

    1下载:
  2. 应用在USRP N210上的XIlinx的FPGA开发板上面的变采样滤波器,实现25--30.72M的变采样滤波器,适应LTE物理层的要求-Application on the USRP N210 FPGA development board above XIlinx variable sampling filter, to achieve 25- 30.72M variable sampling filter, adapt LTE physical layer requirements
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-04-07
    • 文件大小:3072
    • 提供者:何中华
  1. A-C8V4

    1下载:
  2. 淘宝畅销FPGA开发板的A-C8V4 电路图及例子 9实验九:利用语言实现3-8译码器 10实验十:利用语言实现按键和数码管显示 11实验十一:利用语言实现乘法器数码管显示 …… 18实验十八:利用语言实现蜂鸣器唱歌 23实验二十三:利用语言实现LCD1602显示 24实验二十四:利用语言实现LCD12864显示汉字 25实验二十五:利用程序实现串口RS232与电脑通信 28实验二十八:利用程序实现VGA显示RGB彩条信号 31实验三十一:利用程序实
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:12894094
    • 提供者:liyang
  1. ADC_TLC549-TEST

    0下载:
  2. TLC549AD采样程序 在数码管上显示,我们的TLC549AD是独立的模块,没有直接和FPGA链接,所以我们在使用时,要用杜邦线链接起来。-TLC549AD sampling program on the digital display, we TLC549AD are independent modules, and FPGA no direct link, so we use, use DuPont wire linked.
  3. 所属分类:Other systems

    • 发布日期:2017-05-20
    • 文件大小:5796435
    • 提供者:李进
  1. audio_fft_vga

    1下载:
  2. 代码使用Verilog HDL实现了使用WM8731对音频进行采样,并且使用ALTERA FPGA实现了频谱计算(FFT),在VGA上显示频谱。-Achieved using the Verilog HDL code using WM8731 audio sampling, and use ALTERA FPGA to achieve the calculated spectrum (FFT), shows the spectrum on VGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-25
    • 文件大小:38912
    • 提供者:Yang Lv
« 1 2 3 45 »
搜珍网 www.dssz.com