CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - seven segment

搜索资源列表

  1. LED_seg7

    0下载:
  2. 基于DE2-70开发板的八个按键7段数码管的检测程序,可控制数码管刷新显示0-f等十六个字符-Based on the DE2-70 development board eight buttons seven segment digital tube detection procedures, can be controlled digital refresh pipe 0 f 16 characters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:371120
    • 提供者:吉泽
  1. led

    0下载:
  2. 使用型号为CY7C68013A的USB芯片,通过开发板上的按钮控制LED灯的状态-This directory contains the dev_io 8051 firmware The purpose of this software is to demonstrate how to use the buttons and LED on the EZ-USB developer s kit. The device I/O example program uses
  3. 所属分类:USB develop

    • 发布日期:2017-04-29
    • 文件大小:35208
    • 提供者:许晨瑞
  1. AVRclock

    0下载:
  2. atmega8 clock seven segment
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-30
    • 文件大小:29921
    • 提供者:Abdullbasit1985
  1. PC-and-mcu-communikation

    0下载:
  2. 采用Atmel公司的AT89S52为核心,实现PC机与单片机系统之间的数据通信。单片机系统采集温度,将数据通过串口将数据传送至PC机,PC机上实现温度显示。用MAX232芯片完成单片机TTL电平到RS-232双向电平的转换 ;用46的矩阵式键盘完成所要显示的数据发送;用LED七段数码管显示接收和发送的数据。在软件设计方面,PC机采用VB或者VC语言编程,使用通信控件来实现串行口收发数据;单片机方面用中断方式完成数据的接收和发送。-Adopts AT89S52 as the core of Atm
  3. 所属分类:SCM

    • 发布日期:2017-06-16
    • 文件大小:24725819
    • 提供者:因一
  1. rom_255

    0下载:
  2. 入通过键盘控制或者通过50MHz晶振分频后以每1秒步长发生变化,通过8位并口输出数字信号,并将该数字信号经过译码电路后用七段数码管提示输出信息。-By controlling the keyboard or by 50MHz crystal occur long after the division to change every second step, through the 8-bit parallel digital output signal, and the digital sign
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1351971
    • 提供者:maomaoyu
  1. char_7seg

    0下载:
  2. 七段数码管显示 显示简单字符 显示0~9数字 循环显示4个字符 -Seven-segment LED display simple characters, 0-9 digital loop display four characters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:261766
    • 提供者:maomaoyu
  1. Seven_segment_display

    0下载:
  2. SEVEN SEGMENT DISPLAY, ON VHDL, ISE DESIGN SUITE 14.7, XILINX
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-30
    • 文件大小:228675
    • 提供者:Victorito10
  1. Gameone

    0下载:
  2. 此秒表有两个按键(reset, start)按下reset键后,秒表清零,按下start键后,开始计时, 再次按下start键后, 停止计时, 用FPGA开发板上的两个七段数码管显示时间(以秒为单位),计时由0 到 59 循环。 高级要求(可选):实现基本要求的前提下,增加一个按键(select),用于轮流切换两个七段数码管分别显示百分之一秒,秒,分钟。 规格说明: 1.通过按下reset键(异步复位),将秒表清零,准备计时,等检测到start键按下并松开后,开始计时 。如果再次检测
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2789199
    • 提供者:XiaoLiuMang
  1. SEG7_IF

    0下载:
  2. SEG7_IP.v是七段数码管的驱动程序,符合avalon总线协议,可以直接添加七段数码管的ip核使用。-SEG7_IP.v is the seven segment digital tube driver, in line with the Avalon bus protocol, you can directly add the seven segment digital tube IP nuclear use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1178
    • 提供者:ww
  1. AC220-voltmeter-16F676

    0下载:
  2. 3 Digit Seven Segment AC Volt Meter wit PIC16F676.
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:455667
    • 提供者:manoj
  1. Digital-tube-dynamic-display

    0下载:
  2. 1、 了解数码管的工作原理。 2、 学习七段数码管显示译码器的设计。 3、 学习VHDL的CASE语句及多层次设计方法。 八位七段数码管动态显示电路的设计 - Eight seven-segment LED display dynamic circuit design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:125093
    • 提供者:漆广文
  1. led_seg7

    0下载:
  2. 七段数码管显示实验,通过运行程序可以让数码管,显示不同的数字。-Seven segment digital tube display experiment, through the operation of the program can make digital tube, showing different figures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1079
    • 提供者:左乐
  1. wenduji

    0下载:
  2. 基于FPGA的温度计设计。感温原件测量环境温度并显示在七段数码管上,可选择华氏温度或摄氏温度显示,超过预设温度有提示音,超过温度上限后会发出警报。- FPGA-based design of the thermometer. Original ambient temperature measured and displayed on the seven-segment LED, selectable Fahrenheit or Celsius temperature display, tem
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3983642
    • 提供者:God_Paine
  1. 24DECODERDATA.v

    0下载:
  2. In digital electronics, a binary decoder is a combinational logic circuit that converts a binary integer value to an associated pattern of output bits. They are used in a wide variety of applications, including data demultiplexing, seven segment disp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:13320
    • 提供者:ece
  1. SevenSegment

    0下载:
  2. Seven Segment display routines in C51
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-12
    • 文件大小:526
    • 提供者:venkatesh
  1. frequency-counter

    0下载:
  2. frequemcy counter using pic16f84 and 4 digit seven segment © pira
  3. 所属分类:Project Design

    • 发布日期:2017-05-04
    • 文件大小:10254
    • 提供者:steven
  1. SEG7

    0下载:
  2. 用verilog语言完成对七段译码器的设计和实现-Complete with verilog language design and implementation of seven-segment decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:105304
    • 提供者:FANFAN
  1. Versuch1.vhd

    0下载:
  2. Simply Hello World alias Hola with seven Segment unit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1247
    • 提供者:tux86
  1. FSM

    0下载:
  2. 这是一个有限状态机的设计,并且用来测试一个学列,七段数码管输出检测序列的值,有限状态机用三段式编写。- This is a finite state machine design, and used to test a school, seven-segment digital output detection sequence value, the finite state machine with three-stage preparation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2370
    • 提供者:east
  1. counter

    0下载:
  2. 通过P1.0端口以1HZ的频率输出信号,使用该信号点亮一个LED指示灯, 并将信号接入到INT1,统计中断的次数。 将中断的次数通过两个七段数码管采用十进制显示出来。当超过99次后,清零并 重新计数。 -By P1.0 port to the output signal frequency 1HZ using an LED indicator lights that signal, and the signal access to INT1, the number of statis
  3. 所属分类:SCM

    • 发布日期:2017-05-05
    • 文件大小:61827
    • 提供者:黄keke
« 1 2 ... 29 30 31 32 33 3435 36 37 »
搜珍网 www.dssz.com