CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - state machine

搜索资源列表

  1. ebook_verilog_fine_state_machine

    0下载:
  2. Designing a synchronous finite state machine (FSM) is a common task for a digital logic engineer. This paper discusses a variety of issues regarding FSM design using Synopsys Design Compiler. Verilog and VHDL coding styles are presented, and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:121466
    • 提供者:rex
  1. Dual-Role.A-Device.State.Machine

    0下载:
  2. OTG HNP State Machine Pseudo Code-Dual-Role A-Device State Machine
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-26
    • 文件大小:644
    • 提供者:qinningning
  1. DVDT_MORE

    0下载:
  2. 基于FPGA有限状态机的数据采集系统,实现对高速AD转换的控制。-FPGA-based finite state machine of the data acquisition system for high-speed AD conversion control.
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:388931
    • 提供者:blackstar1
  1. mmi

    0下载:
  2. 手机mmi状态机,包括打电话、发短信、SAT-State machine of mmi of mobilephone, it s including Call, SMS, SAT etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3889462
    • 提供者:ycchjd
  1. telephone

    0下载:
  2. 实现长途电话,市话的计时,还有免费电话 在verilog中用状态机实现-The achievement of long-distance calls, the city of the time, then, there are toll-free number in verilog state machine used to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:898
    • 提供者:邱波
  1. ProducerConsumerExample

    0下载:
  2. 类似一个可乐销售机系统,LabVIEW网络讲坛《状态机》(State Machine)下集里面用作例子-Producer Consumer Example This particular example, a simulated soda machine, uses an event structure in the producer loop to register user input (depositingclicking on quarter, dime or nickel), an
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:549818
    • 提供者:tavel
  1. example9

    0下载:
  2. 用 epm240 驱动 adc0804 这个芯片,本实验用状态机来控制。-Epm240 Driver adc0804 with this chip, the state machine to control the experiment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:32305
    • 提供者:panda
  1. QHSM

    0下载:
  2. 笔记有关quantum hierarchical state machine的学习内容 共分成三个部分 1.hierarchical state machine 2.Quantum FrameWork 3.Implement 参考书目 Practical Statecharts in C/C++ Quantum Programmming for Embedded Systems Miro Samek, Ph.D.-Notes on quantum hiera
  3. 所属分类:software engineering

    • 发布日期:2017-05-13
    • 文件大小:3459771
    • 提供者:wudunglie
  1. ACsearch_DPPcompact_with_driver

    0下载:
  2. AC多模式匹配算法的CUDA实现 Aho-Corasick算法是基于有穷状态自动机的多模式匹配算法-AC multi-pattern matching algorithm CUDA implementation Aho-Corasick algorithm is a finite state machine based on the multi-pattern matching algorithm
  3. 所属分类:MPI

    • 发布日期:2017-05-04
    • 文件大小:1043810
    • 提供者:jiangwei
  1. FSM

    0下载:
  2. finite state machine design
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-04-01
    • 文件大小:1006258
    • 提供者:Osman
  1. lsm

    0下载:
  2. Liquid State Machine toolbox
  3. 所属分类:AI-NN-PR

    • 发布日期:2014-06-15
    • 文件大小:6539101
    • 提供者:Gonzalo
  1. statemachine

    0下载:
  2. 一个用vhdl语言写的交通灯控制的例子,可以很好的学习vhdl语言中状态机的使用。-Written in a language with vhdl traffic light control case study can be a good vhdl state machine language to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:827
    • 提供者:tofly
  1. Three-stage-state-machine

    0下载:
  2. 状态机是逻辑设计的重要内容,状态机的设计水平直接反应工程师的逻辑功底,所以许 多公司的硬件和逻辑工程师面试中,状态机设计几乎是必选题目。本章在引入状态机设计思想的基础上,重点讨论如何写好状态机。-State machine is an important part of logic design, state machine design engineers a direct response to the logic level of skills, so the company s ha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:294435
    • 提供者:田涛
  1. Determine-Warning-State-Machine

    0下载:
  2. 气象站 温度 风力 数据处理分析 判断警告 状态机-Weather warnings state machine to determine the temperature of wind
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:22298
    • 提供者:duhongjin
  1. State-machine

    0下载:
  2. 状态机,北航c2的某一次作业题,经测试,能通过所有测试点-State machine, an operation of a Northern question c2, tested, pass all the test points
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-29
    • 文件大小:857
    • 提供者:火乐月半
  1. State-machine-keys

    0下载:
  2. 状态机按键,带PROTEUS仿真。对初学者很好-State machine keys
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:591
    • 提供者:范伟
  1. state-machine-code

    0下载:
  2. 用Altera Quartus II 的VHDL语言完成的状态机控制步进电机的程序员代码-The use of Altera Quartus II VHDL language to complete the state machine code programmer stepper motor control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:274479
    • 提供者:nanana
  1. state-machine-

    0下载:
  2. VHDL语言状态机的源程序,有助于学习VHDL语言的状态机-VHDL state machine of the source language to help learn the language of the state machine VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:3777
    • 提供者:
  1. Verilog-state-machine

    0下载:
  2. 状态机采用 VerilogHDL 语言编码,建议分为三个 always 段,本文档就是详述其原因-VerilogHDL language code using the state machine, the proposed section is divided into three always
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:616327
    • 提供者:老虎
  1. Sequence-Detector-State-Machine

    0下载:
  2. 状态机序列检测器设计,包含程序在内,该程序是检测1101-Sequence detection state machine design, including the program included, the program is to test 1101
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:6478
    • 提供者:lizhengye
« 1 23 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com